拓荆科技:拓荆科技首次公开发行股票并在科创板上市招股意向书

时间:2022年03月28日 23:15:58 中财网

原标题:拓荆科技:拓荆科技首次公开发行股票并在科创板上市招股意向书
-



拓荆科技股份有限公司招股意向书


发行人声明

中国证监会、交易所对本次发行所作的任何决定或意见,均不表明其对注册
申请文件及所披露信息的真实性、准确性、完整性作出保证,也不表明其对发行
人的盈利能力、投资价值或者对投资者的收益作出实质性判断或保证。任何与之
相反的声明均属虚假不实陈述。


根据《证券法》的规定,股票依法发行后,发行人经营与收益的变化,由发
行人自行负责;投资者自主判断发行人的投资价值,自主作出投资决策,自行承
担股票依法发行后因发行人经营与收益变化或者股票价格变动引致的投资风险。


发行人及全体董事、监事、高级管理人员承诺招股意向书及其他信息披露资
料不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承
担个别和连带的法律责任。


发行人第一大股东承诺本招股意向书不存在虚假记载、误导性陈述或重大遗
漏,并对其真实性、准确性、完整性承担个别和连带的法律责任。


公司负责人和主管会计工作的负责人、会计机构负责人保证招股意向书中财
务会计资料真实、完整。


发行人及全体董事、监事、高级管理人员以及保荐人、承销的证券公司承诺
因发行人招股意向书及其他信息披露资料有虚假记载、误导性陈述或者重大遗
漏,致使投资者在证券发行和交易中遭受损失的,将依法赔偿投资者损失。


保荐人及证券服务机构承诺因其为发行人本次公开发行制作、出具的文件有
虚假记载、误导性陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者
损失。


1-1-1



拓荆科技股份有限公司招股意向书


本次发行概览

发行股票类型人民币普通股(A股)
发行股数、股东公开发售股数
(如有)
本次公开发行股票采用公开发行新股方式,公开发行
3,161.9800万股,占发行后总股本的
25.00%。本次发行中,
公司股东不进行公开发售股份。

每股面值人民币
1.00元
每股发行价格人民币【】元
预计发行日期
2022年
4月
8日
拟上市的证券交易所和板块上海证券交易所科创板
发行后总股本
12,647.8797万股
保荐机构(主承销商)招商证券股份有限公司
联席主承销商国开证券股份有限公司
发行人高级管理人员、员工拟
参与战略配售情况
2022年
1月
4日,公司召开第一届董事会第七次会议,审
议通过了《关于公司高级管理人员及核心员工参与公司首次
公开发行股票并在科创板上市战略配售的议案》,同意公司
高级管理人员与核心员工设立专项资产管理计划并参与公
司本次发行战略配售。2022年
3月
18日,经发行人董事长
授权签署了《关于调整战略配售计划参与人员认购金额及比
例的通知》。前述资管计划参与战略配售数量为不超过本次
公开发行规模的
10%,即
316.1980万股,参与认购规模上
限(含战略配售佣金)合计不超过
22,256.7750万元。招商
资管拓荆科技员工参与科创板战略配售集合资产管理计划
承诺获得本次配售的股票持有期限为自发行人首次公开发
行并上市之日起
12个月。

保荐人相关子公司拟参与战
略配售情况
保荐机构将安排子公司招商证券投资有限公司参与本次发
行战略配售,初始跟投比例为本次公开发行股份的
5%,即
158.0990万股,具体比例和金额将在
T-2日确定发行价格后
确定。招商证券投资有限公司本次跟投获配股票的限售期为
24个月,限售期自本次公开发行的股票在上交所上市之日
起开始计算。

招股意向书签署日
2022年
3月
29日

1-1-2



拓荆科技股份有限公司招股意向书


重大事项提示

本重大事项提示仅对本公司特别事项及重大风险做扼要提示。投资者应认真
阅读本招股意向书正文内容,对本公司做全面了解。


本公司特别提醒投资者注意以下事项:

一、重大风险因素
(一)技术人员流失及无法持续引入高端技术人才的风险

公司所处的半导体专用设备行业属于技术密集型行业,涉及等离子体物理、
射频及微波学、微观分子动力学、结构化学、光谱及能谱学、真空机械传输等多
种科学技术及工程领域学科知识的综合应用,高端技术人才是企业持续发展和保
持竞争力的原动力。


近年来,国内半导体专用设备市场及晶圆制造需求不断增长,行业内人才竞
争日益激烈,专业技术人才呈现严重短缺的情形。报告期各期,公司研发人员离
职人数分别为
19人、15人、22人和
27人,研发人员离职率分别为
13.10%、10.95%、


13.02%和
12.50%,存在一定的研发人员流失风险。公司若无法持续为技术人才
提供较优的薪酬待遇和发展平台,无法持续吸引全球高端技术人才,则将面临技
术人才流失、储备不足的局面,并可能导致公司创新能力不足。

(二)扣除非经常性损益后尚未盈利及持续亏损风险

报告期内,公司净利润分别为
-10,322.29万元、-1,936.64万元、-1,169.99万
元及
5,704.87万元,扣除非经常性损益后归属于母公司所有者的净利润分别为
-14,993.05万元、-6,246.63万元、-5,711.62万元和
-2,305.21万元。报告期内,公
司扣除非经常性损益后尚未实现盈利,主要由于半导体设备行业技术含量高,研
发投入大,产品验证周期长,公司需要持续进行了大量的研发投入。报告期内,
公司研发费用分别为
10,797.31万元、7,431.87万元、12,278.18万元和
12,955.63
万元,占各期营业收入的比例为
152.84%、29.58%、28.19%和
34.65%。研发费
用金额较高和占营业收入的比例较大,是公司亏损主要原因。


报告期内,公司扣除非经常性损益后的亏损虽已逐年收窄,但如果未来发生

1-1-3



拓荆科技股份有限公司招股意向书


市场竞争加剧、宏观经济和半导体产业的景气度下行、主要客户削减资本性支出
预算、公司大幅增加研发投入或公司不能有效拓展客户等情形,将使公司面临一
定的经营压力,公司未来一定期间内仍存在无法实现扣除非经常性损益后盈利的
风险。


(三)产品验收周期较长风险

晶圆制造属于高精密制造领域,对产线上各环节的良率要求极高,任何进入
量产线的设备均需经过长时间工艺验证和产线联调联试。特别是对薄膜沉积设备
而言,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留
存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成膜后检测
薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶
圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量
薄膜沉积设备是否最终满足技术标准。因此,晶圆厂对薄膜沉积设备所需要的验
证时间相比其他半导体专用设备可能更长。


对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方
案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、工艺验证到最
后的工艺验证和产品验收通过,整个流程可能需要
6-24个月甚至更长时间。对
于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较
大改动,从出货到设备验收通常需要
3-24个月的时间。如此宽幅的验收周期时
间波动主要是受到客户产线条件、客户端安装调试、客户工艺要求调整、客户验
收流程限制以及其他偶然性因素的影响。


如果受某些因素影响,公司产品验收周期延长,公司的收入确认将有所延迟。

另外,可能存在公司设备验收不通过、收款时间延后等风险,增加公司的资金压
力,影响公司的财务状况。


(四)收入依赖
PECVD系列产品,ALD产品及
SACVD产品尚未得到大
规模验证的风险

公司主要产品为半导体薄膜沉积设备,报告期内,公司
PECVD、ALD、
SACVD三大类薄膜沉积设备已得到产业化应用。由于公司
PECVD设备推出较
早,产品线较为丰富,下游市场应用广阔,国内市场成熟。报告期内,
PECVD

1-1-4



拓荆科技股份有限公司招股意向书


销售收入占发行人主营业务收入比例较高,分别为
77.98%、100.00%、97.55%和


88.69%。目前,公司
ALD、SACVD均处于产品发往不同客户端进行产线验证的
市场开拓阶段,形成批量销售需经过不同客户的验证,周期存在不确定性。

ALD设备系集成电路先进制程晶圆制造的关键设备,在
14nm及以下制程逻
辑芯片、17nm及以下
DRAM芯片中有着广泛应用。

SACVD设备系
40nm以下
逻辑电路制造、高性能存储芯片高深宽比填充的关键设备。晶圆制造产线制程越
先进,对于
ALD、SACVD设备数量的需求越多。我国集成电路制造产业起步较
晚,晶圆制造产线制程与国际先进水平相比较为落后,先进制程产线处于发展建
设阶段,具备先进制程晶圆制造能力的厂商较少。如果国内先进制程晶圆制造产
线发展不及预期,市场对
ALD、SACVD设备的需求增长较小,发行人
ALD及
SACVD设备未来销售增长将受到限制。


(五)Demo机台无法实现最终销售的风险

公司产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产制
造,主要采用库存式生产和订单式生产相结合的生产模式。订单式生产,指公司
与客户签署正式订单后进行的生产活动。库存式生产,指公司尚未获取正式订单
便开始的生产活动,包括根据
Demo订单或较明确的客户采购意向启动的生产活
动。


对于
Demo机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,
便开始组织生产,完工后以
Demo订单的形式发往客户端进行验证。一般在
Demo
机台获得客户端验证通过后,客户才会下达正式订单进行采购。


截至报告期末,公司发出商品共计
74台,其中尚未获取正式订单,仅通过
Demo订单等形式安排发运的设备共计
25台,占比为
33.78%。如果遇到集成电
路产业景气度大幅下滑、客户需求大幅减弱、订单意外取消等不利因素,可能导

Demo机台未来最终无法获得客户验证通过,相关机台可能无法实现销售,公
司可能面临调整生产计划、更换已完工机台的部分模块导致生产成本加大、存货
库龄加长等情形,对公司的生产、业绩造成不利影响。


(六)技术创新风险

随着全球半导体行业的蓬勃发展,半导体行业技术日新月异,下游客户对薄

1-1-5



拓荆科技股份有限公司招股意向书


膜沉积设备兼容的材料类型、电性能、机械性能、薄膜均匀度等需求也随之不断
变化。因此,公司需要持续保持较大的研发投入,紧跟制造工艺、基础学科发展
的最新方向,积极实验探索新技术路线、新设计思路、新材料性能。


如果公司未来未能准确理解下游客户的产线设备及工艺技术演进需求,或者
技术创新产品不能契合客户需求,无法适应下游芯片制造工艺节点继续缩小或芯
片制造新技术出现,可能导致公司设备无法满足下游生产制造需要,将可能对公
司的经营业绩造成不利影响。


(七)市场竞争风险

半导体设备行业具有很高的技术壁垒、市场壁垒和客户准入壁垒。目前公司
的竞争对手主要为国际知名半导体设备制造商,与中国大陆半导体专用设备企业
相比,国际巨头企业拥有客户端先发优势,产品线丰富、技术储备深厚、研发团
队成熟、资金实力较强等优势,国际巨头还能为同时购买多种产品的客户提供捆
绑折扣。2019年度,在
CVD设备全球市场中,应用材料(
AMAT)、泛林半导
体(Lam)、东京电子(
TEL)的市场占有率分别为
30%、21%和
19%;在
ALD
设备全球市场中,东京电子(TEL)、先晶半导体(ASMI)的市场占有率分别

31%和
29%。相比国际巨头,公司的综合竞争力处于弱势地位,市场占有率
较低。


另外,国内半导体设备厂商存在互相进入彼此业务领域,开发同类产品的可
能。例如,在
ALD设备领域,除发行人外,北方华创、盛美上海、屹唐股份及
中微公司已推出自产设备或有进入
ALD设备市场的计划。


公司面临国际巨头以及潜在国内新进入者的双重竞争。如果公司无法有效应
对市场竞争环境,则公司的行业地位、市场份额、经营业绩等均会受到不利影响。


(八)客户相对集中的风险

报告期内,公司前五大客户主营业务销售金额占当期主营业务收入的比重分
别为
100.00%、84.02%、83.78%和
92.44%。前五大客户集中度较高,主要由于
集成电路制造行业属于资本和技术密集型,国内外主要集成电路制造商均呈现经
营规模大、数量少的行业特征。公司客户集中度较高可能会导致公司在商业谈判
中处于弱势地位,且公司的经营业绩与下游半导体厂商的资本性支出密切相关,

1-1-6



拓荆科技股份有限公司招股意向书


客户自身经营状况变化也可能对公司产生较大的影响。如果公司后续不能持续开
拓新客户或对少数客户形成重大依赖,将不利于公司未来持续稳定发展。


(九)无控股股东及实际控制人风险

截至本招股意向书签署日,公司单个股东持有或控制的股份数量均未超过公
司总股本的
30%,无法形成控股,单个股东亦不能决定董事会多数席位,使得公
司无控股股东及实际控制人。公司经营方针及重大事项的决策均由股东大会或董
事会按照公司议事规则充分讨论后确定,避免了因单个股东控制引起决策失误而
导致公司出现重大损失的可能,但不排除存在因无控股股东及实际控制人导致公
司决策效率低下的风险。


此外,由于公司股权相对分散,不排除未来公司无控股股东的状态发生变化,
导致公司经营管理思路发生变化的风险。


二、本次发行相关主体作出的重要承诺

公司提示投资者认真阅读公司、股东、董事、监事、高级管理人员、核心技
术人员以及本次发行的保荐机构及证券服务机构等作出的各项重要承诺、未能履
行承诺的约束措施,具体内容详见本招股意向书“第十节投资者保护”之“五、
重要承诺”。


三、利润分配政策
(一)发行前滚存利润的分配安排


2021年
3月
31日,公司
2021年第二次临时股东大会作出决议,公司首次
公开发行股票前的滚存未分配利润或累计未弥补亏损将由发行后的新老股东按
照届时的持股比例共同享有或承担。


(二)发行后的股利分配政策及未来三年分红回报规划

具体内容参见本招股意向书“第十节投资者保护”之“二、股利分配政策”

及“第十节投资者保护”之“五、重要承诺”之“(六)利润分配政策的承诺”。


四、财务报告审计截止日后经营情况及主要财务信息
(一)财务报告审计截止日后经营情况、主要财务信息

1-1-7



拓荆科技股份有限公司招股意向书


财务报告审计截止日至本招股意向书签署日,公司所处行业的产业政策及行
业周期,进出口业务状态,税收政策,业务模式及竞争趋势,主要原材料的采购
规模及采购价格,主要产品的生产、销售规模及销售价格,主要客户及供应商的
构成,重大合同条款或实际执行情况均未发生重大变化,不存在新增对未来经营
可能产生较大影响的诉讼或仲裁事项,不存在重大安全事故,经营情况与经营业
绩较为稳定,总体运营情况良好,不存在重大异常变动情况,不存在其他可能影
响投资者判断的重大事项。


公司
2021年度财务数据已经天健会计师审阅,主要财务数据如下:


1、合并资产负债表主要财务数据

单位:万元

项目
2021年
12月
31日
2020年
12月
31日变动金额变动比率
资产总额
251,772.82 181,406.91 70,365.91 38.79%
所有者权益
119,333.76 112,249.87 7,083.89 6.31%
归属于母公司
所有者权益
119,260.77 112,220.97 7,039.80 6.27%

截至
2021年
12月
31日,公司资产总额
251,772.82万元,较
2020年
12月
31日增长
70,365.91万元,增幅
38.79%,主要原因如下:

(1)公司业务规模逐年增长,使得存货增长
44,107.78万元,应收账款增长
3,071.29万元。

(2)2021年,公司为进一步扩大产能,新增上海闵联临港园区三期标准厂
房等固定资产投资,使得固定资产及在建工程增长
12,453.82万元。

(3)其他流动资产增长
7,761.86万元,主要系增值税留抵税额的增长。

截至
2021年
12月
31日,公司所有者权益和归属于母公司所有者权益分别

119,333.76万元、119,260.77万元,较
2020年
12月
31日有所增加,增幅分
别为
6.31%、6.27%。

2、合并利润表及合并现金流量表主要财务数据
单位:万元

项目
2021年
10-12月
2020年
10-12月
变动幅度
2021年度
2020年度变动幅度

1-1-8



拓荆科技股份有限公司招股意向书


项目
2021年
10-12月
2020年
10-12月
变动幅度
2021年度
2020年度变动幅度
营业收入
38,406.52 27,232.88 41.03% 75,796.09 43,562.77 73.99%
营业利润
1.58 4,454.92 -99.96% 5,628.70 -1,375.68 -
利润总额
999.58 4,459.13 -77.58% 6,737.85 -1,169.99 -
净利润
987.86 4,459.13 -77.85% 6,692.73 -1,169.99 -
归属于母公
司股东的净
利润
1,052.27 4,480.22 -76.51% 6,848.65 -1,148.90 -
扣除非经常
性损益后归
属于母公司
股东的净利

-5,894.98 1,176.03 --8,200.19 -5,711.62 -
经营活动产
生的现金流
量净额
21,345.33 41,969.59 -49.14% 13,748.06 30,891.27 -55.50%

注:负数无法计算变动比率。

2021年四季度,公司营收规模进一步扩大,较
2020年同期增长
11,173.64
万元,同比增长
41.03%;2021年全年公司营业收入较
2020年度同比增长
32,233.32万元,增幅
73.99%,主要是由于:①国内半导体设备行业需求增长,
为公司业绩增长提供了良好的产业环境;②公司持续大量的研发投入加快了公司
产品升级创新的速度和产品成熟度,增强了产品竞争力和客户认可度。

2021年四季度,公司归属于母公司股东的净利润较
2020年同期下降
3,427.95
万元,降幅
76.51%,主要系
2021年四季度公司营业收入较
2020年四季度增长
的同时,营业成本相应增长
4,464.65万元,研发费用相应增长
10,851.58万元。

2021年全年,公司归属于母公司股东的净利润较
2020年度增加
7,997.55万
元,主要系公司营业收入大幅增长。

2021年四季度,公司经营活动产生的现金流量净额较上年同期下降
20,624.26万元,2021年全年公司经营活动产生的现金流量净额较
2020年度下降
17,143.21万元,主要由于发行人业务规模扩大,原材料等采购量增加,采购支
出随之增加,另外公司员工人数增加,工资性支出增多。

3、非经常性损益情况
2021年度,公司归属于母公司股东的非经常性损益合计金额为
15,048.84万

1-1-9



拓荆科技股份有限公司招股意向书


元,主要系计入当期损益的政府补助。2021年度计入当期损益的政府补助金额

15,553.46万元。


(二)2022年一季度业绩预计情况

公司
2022年一季度预计业绩情况如下:
单位:万元

项目
2022年
1-3月
2021年
1-3月变动金额变动比率
营业收入
10,000.00至
12,000.00
5,774.10
4,225.90至
6,225.90
73.19%至
107.82%
归属于母公司
股东的净利润
-2,000.00至
-700.00
-1,032.66 -967.34至
332.66 -
扣除非经常性
损益后归属于
母公司股东的
净利润
-3,000.00

-1,600.00
-2,400.90
-599.10

800.90
-

注:负数无法计算变动比率。


前述
2022年一季度业绩情况系公司初步预计数据,不构成公司的盈利预测
或业绩承诺。


五、其他重大事项
(一)员工股持股平台借款及股份质押

芯鑫和等
7个公司员工持股平台,与外部投资人以相同价格参与了公司
2019

12月的增资。员工持股平台资金来自本次增资的机构投资人或其关联方贷款,
合计金额
18,354.00万元。因该等借款,上述
7个员工持股平台将增资取得的发
行人股份
966万股质押给贷款方。借款、质押详细情况,请见本招股意向书“第
五节发行人基本情况”之“九、持有发行人
5%以上股份或表决权的主要股东及
实际控制人的基本情况”之“(三)其他持有发行人
5%以上股份或表决权的主
要股东的基本情况”之“2、持有发行人
5%以上股份或表决权的主要股东所持发
行人股份质押或其他有争议的情况”部分。


如果芯鑫和等
7个公司员工持股平台未能按照借款协议约定按期偿还借款,
存在质权人行使质权,使得员工持股平台所持发行人股权权属变更的可能性,并
存在进一步影响发行人管理层及员工稳定性的风险。


(二)股东纠纷及股份冻结

1-1-10



拓荆科技股份有限公司招股意向书


截至本招股意向书签署日,润扬嘉禾直接持有发行人
6.5710%股份,共青城
盛夏直接持有发行人
0.8212%股份;润扬嘉禾与共青城盛夏存在诉讼纠纷。



1、纠纷由来

共青城盛夏原系润扬嘉禾的有限合伙人,持有润扬嘉禾
5,235.2715万元出资
额,出资比例为
39.6611%。


(1)股权下翻纠纷
根据太原市杏花岭区人民法院
2021年
8月
6日作出的《民事判决书》(
((2021)

0107民初
2219号)查明和认定的事实,时任润扬嘉禾普通合伙人青岛润扬锐
捷工程科技有限公司(以下简称“润扬锐捷”)未经其他合伙人一致同意,与润
扬嘉禾于
2020年
4月
26日与共青城盛夏签订《关于青岛润扬嘉禾投资合伙企业
(有限合伙)之合作补充协议》(以下简称《合作补充协议》),约定共青城盛
夏有权要求润扬嘉禾将共青城盛夏对润扬嘉禾出资所对应的拓荆科技股权转让
给共青城盛夏,从而实现共青城盛夏间接所持发行人股份的下翻。2020年
6-7
月,共青城盛夏向润扬嘉禾提出退伙申请,称其自身不满足私募基金备案条件,
并主张根据其与润扬锐捷签订的《合作补充协议》,要求润扬嘉禾将共青城盛夏
对润扬嘉禾出资所对应的拓荆科技股权转让给共青城盛夏以完成退伙结算。就股
权下翻,润扬嘉禾和共青城盛夏始终未达成一致。


(2)润扬嘉禾基金备案事宜
在润扬嘉禾管理人向基金业协会递交基金备案材料后,2020年
5月,共青
城盛夏在其自身股权结构中引入新的投资人,且新的投资人中存在最终出资人不
符合私募基金合格投资者条件的情形,因而润扬嘉禾私募基金备案工作未能如期
完成。


(3)润扬嘉禾将共青城盛夏除名
2020年
10月
23日,润扬嘉禾认为共青城盛夏不符合合格投资者的法律规
定,触发“法律规定或《合伙协议》约定合伙人必须具有相关资格而丧失该资格”

的当然退伙约定,且不配合进行私募基金备案,给合伙企业造成损失。经其他合
伙人一致同意,将共青城盛夏从合伙中除名。2021年
1月
12日,润扬嘉禾完成
了共青城盛夏退伙后的工商变更登记,并于同月
28日完成私募基金备案。


1-1-11



拓荆科技股份有限公司招股意向书


2、诉讼

(1)案件一
2020年
11月
17日,共青城盛夏向青岛市黄岛区人民法院对润扬嘉禾提起
诉讼,请求黄岛区人民法院判决润扬嘉禾上述将共青城盛夏除名的《会议决议》
无效。提交答辩状期间,润扬嘉禾提出管辖权异议。经黄岛区人民法院裁定,润
扬嘉禾提出的异议成立,案件移送太原市杏花岭区人民法院管辖。

2021年
8月
6
日,太原市杏花岭区人民法院作出一审判决,驳回共青城盛夏的诉讼请求,共青
城盛夏对该判决不服,于
2021年
8月
12日向太原市中级人民法院提起上诉。

2022

2月
25日,太原市中级人民法院作出终审判决,驳回上诉,维持原判。


(2)案件二
2021年
1月
31日,共青城盛夏向江西省九江市中级人民法院对润扬嘉禾及
其出资人提起诉讼,主张其入伙润扬嘉禾的目的在于通过润扬嘉禾间接持有拓荆
科技股权,在即将实现投资回报的情况下,润扬嘉禾合伙人的除名决定使其丧失
了在润扬嘉禾的财产份额,侵占了其间接持有的拓荆科技股权,诉请被告向其返
还拓荆科技
275.5406万股股份。提交答辩状期间,润扬嘉禾提起管辖权异议,
九江市中级人民法院裁定异议成立,并于
2021年
4月
16日作出《民事裁定书》,
裁定将上述案件移送山西省太原市中级人民法院。共青城盛夏不服该管辖权移送
裁定,向江西省高级人民法院提起上诉,2021年
7月
7日,江西省高级人民法
院作出终审裁定,将该案件移送太原市中级人民法院处理。截至本招股意向书签
署日,该案件尚在审理中。



3、股份冻结

在案件二中,共青城盛夏向九江市中级人民法院申请冻结润扬嘉禾所持发行

6.5710%股份(对应
623.3158万股股份),发行人已收到九江市中级人民法院

2021年
3月
1日发出的(2021)赣
04执保
49号《协助执行通知书》,冻结
期限自《协助执行通知书》送达之日满两年。


共青城盛夏要求润扬嘉禾返还的前述发行人
275.5406万股股份占发行人股
本总额比例为
2.9047%,占比较低。因此,润扬嘉禾与共青城盛夏之间的诉讼不
会对发行人的股权稳定性构成重大不利影响,不构成本次发行上市的实质性法律

1-1-12



拓荆科技股份有限公司招股意向书


障碍,也不会对发行人的业务经营造成重大不利影响。


(三)发行人与高级管理人员共同投资行为

发行人持有拓荆键科(海宁)半导体设备有限公司(以下简称“拓荆键科”)
55%的股权,其他股东海宁君鑫科技合伙企业(有限合伙)(以下简称“海宁君
鑫”)、海宁展阳科技合伙企业(有限合伙)(以下简称“海宁展阳”)、海宁
展博科技合伙企业(有限合伙)(以下简称“海宁展博”)、海宁经开产业园区
开发建设有限公司(以下简称“海宁经开公司”)分别持有拓荆键科
20%、10%、
10%、5%的股权。


截至本招股意向书签署日,海宁展阳由刘静、张家荣分别持有
98%、2%的
出资份额,海宁展博由孙丽杰、刘春分别持有
98%、2%的出资份额。刘静为发
行人副总经理兼财务负责人并登记为海宁展阳的普通合伙人(执行合伙事务),
孙丽杰为发行人副总经理并登记为海宁展博的普通合伙人(执行合伙事务)。


海宁展阳、海宁展博两个员工持股平台系为吸引优秀外部人才加入拓荆键科
而设立。由于拓荆键科尚处于初创阶段,人员建制还未齐备,为管理需要,发行
人委派高级管理人员刘静、孙丽杰分别担任前述两个拓荆键科员工持股平台的执
行事务合伙人,负责日常管理工作,该安排为过渡性安排。根据拓荆键科全体股
东于
2020年
9月签署的拓荆键科《设立协议》、拓荆键科公司章程以及海宁展
阳和海宁展博各自的《合伙协议》、拓荆键科《员工持股管理办法》的规定,刘
静、孙丽杰二人作为拓荆键科员工持股平台普通合伙人,仅承担普通合伙人的管
理职能,不享受合伙企业财产份额所对应的财产权,其登记持有的合伙份额实际
是为拓荆键科未来延揽人才所设的预留权益。待拓荆键科员工中有适当人选时,
刘静、孙丽杰将自海宁展阳、海宁展博退伙,由拓荆键科董事会认可的拓荆键科
员工担任普通合伙人。


拓荆有限与海宁展阳、海宁展博共同投资设立拓荆键科事项,经过拓荆有限
董事会审议通过;发行人以
1元/元注册资本的价格认缴拓荆键科注册资本,与
其他股东相同;拓荆有限对拓荆键科出资合法合规、出资价格公允。


刘静、孙丽杰登记为海宁展阳、海宁展博的合伙人是接受发行人的工作指派,
是一种过渡安排,并不实际享有所持海宁展阳、海宁展博财产份额的权益或其他

1-1-13



拓荆科技股份有限公司招股意向书


经济利益。因此,刘静、孙丽杰不因此违反《公司法》第
148条规定的高级管理
人员忠实义务。


1-1-14



拓荆科技股份有限公司招股意向书


目录

发行人声明
...................................................................................................................1
本次发行概览
...............................................................................................................2
重大事项提示
...............................................................................................................3
一、重大风险因素................................................................................................3
二、本次发行相关主体作出的重要承诺............................................................7
三、利润分配政策................................................................................................7
四、财务报告审计截止日后经营情况及主要财务信息....................................7
五、其他重大事项..............................................................................................10
目录..........................................................................................................................15
第一节释义
...............................................................................................................20
一、常用词语......................................................................................................20
二、专业术语......................................................................................................22
第二节概览
...............................................................................................................26
一、发行人及本次发行的中介机构基本情况..................................................26
二、本次发行概况..............................................................................................26
三、主要财务数据和财务指标..........................................................................28
四、发行人的主营业务经营情况......................................................................29
五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来发展战
略.................................................................................................................................32
六、发行人选择的具体上市标准......................................................................32
七、发行人符合科创板定位的说明..................................................................33
八、公司治理特殊安排......................................................................................34
九、募集资金用途..............................................................................................34
第三节本次发行概况
...............................................................................................36
一、本次发行基本情况......................................................................................36
二、本次发行的有关当事人..............................................................................37
三、发行人与中介机构关系..............................................................................39
四、有关本次发行并上市的重要日期..............................................................40


1-1-15



拓荆科技股份有限公司招股意向书


五、本次发行的战略配售安排..........................................................................40
第四节风险因素
.......................................................................................................45
一、技术风险
......................................................................................................45
二、经营风险
......................................................................................................45
三、财务风险......................................................................................................46
四、内控风险......................................................................................................49
五、知识产权争议风险......................................................................................49
六、其他风险......................................................................................................50
第五节发行人基本情况
...........................................................................................51
一、发行人基本情况..........................................................................................51
二、发行人设立情况..........................................................................................51
三、发行人报告期内股本和股东变化情况......................................................57
四、发行人历史沿革中股权代持及股东无形资产出资的情况......................59
五、发行人报告期内重大资产重组情况..........................................................60
六、发行人在其他证券市场的挂牌情况..........................................................60
七、发行人股权结构及重要关联方股权结构..................................................61
八、发行人控股子公司及对发行人有重大影响的参股公司的情况..............61
九、持有发行人
5%以上股份或表决权的主要股东及实际控制人的基本情况
.....................................................................................................................................63
十、发行人股本情况..........................................................................................74
十一、发行人董事、监事、高级管理人员及核心技术人员情况..................79
十二、发行人与董事、监事、高级管理人员及核心技术人员签署协议及履行
情况..............................................................................................................................89
十三、发行人董事、监事、高级管理人员及核心技术人员变动情况..........90
十四、董事、监事、高级管理人员及核心技术人员与发行人及其业务相关的
对外投资情况..............................................................................................................91
十五、发行人董事、监事、高级管理人员、核心技术人员及其近亲属持股情
况.................................................................................................................................93
十六、发行人董事、监事、高级管理人员及核心技术人员的薪酬情况......94
十七、发行人已执行的股权激励及其他制度安排和执行情况......................96


1-1-16



拓荆科技股份有限公司招股意向书


十八、发行人员工情况......................................................................................99
第六节业务与技术
.................................................................................................102
一、发行人主营业务、主要产品的基本情况................................................102
二、发行人所处行业基本情况及其竞争状况................................................ 110
三、发行人的销售情况和主要客户................................................................138
四、发行人的采购情况和主要供应商............................................................148
五、发行人的核心技术情况............................................................................152
六、对主要业务有重大影响的主要固定资产、无形资产等资源要素情况164
七、发行人的境外经营情况............................................................................168
第七节公司治理与独立性
.....................................................................................169
一、股东大会、董事会、监事会、独立董事、董事会秘书制度的建立健全及
运行情况....................................................................................................................169
二、发行人特别表决权股份情况....................................................................171
三、发行人协议控制架构情况........................................................................171
四、报告期内发行人公司治理存在的缺陷及改进情况................................172
五、发行人管理层对内部控制的自我评估意见............................................172
六、注册会计师对发行人内部控制的鉴证意见............................................172
七、发行人及其子公司最近三年违法违规情况............................................173
八、报告期内资金被控股股东、实际控制人及其控制企业占用或者为控股股
东、实际控制人及其控制企业担保的情况
............................................................173
九、发行人独立持续经营的能力情况............................................................173
十、发行人同业竞争情况................................................................................175
十一、关联方、关联关系及关联交易............................................................175
十二、报告期内关联交易制度的执行情况及独立董事意见........................192
十三、报告期内关联方的变化情况................................................................193
第八节财务会计信息与管理层分析
.....................................................................194
一、报告期内财务报表....................................................................................194
二、注册会计师审计意见................................................................................204
三、与财务会计信息相关的重大事项的判断标准........................................206
四、财务报表的编制基础................................................................................206


1-1-17



拓荆科技股份有限公司招股意向书


五、合并财务报表范围及变化情况................................................................207
六、重要会计政策、会计估计........................................................................207
七、公司的非经常性损益情况........................................................................216
八、公司纳税情况............................................................................................217
九、主要财务指标............................................................................................218
十、对公司未来盈利(经营)能力或财务状况可能产生重要影响的因素分析


...................................................................................................................................219
十一、经营成果分析........................................................................................222
十二、财务状况分析........................................................................................257
十三、偿债能力、流动性、周转能力及持续经营能力分析........................282
十四、报告期重大投资或资本性支出、重大资产业务重组、股权收购合并


...................................................................................................................................290


十五、资产负债表日后事项、或有事项、其他重要事项及重大担保、诉讼等
事项............................................................................................................................291
十六、未来实现盈利的前瞻性分析................................................................291
第九节募集资金运用与未来发展规划
.................................................................292
一、募集资金运用概况....................................................................................292
二、募集资金运用情况
....................................................................................294
三、募集资金运用涉及新取得土地或房产的说明........................................301
四、公司未来发展规划
....................................................................................301
第十节投资者保护
.................................................................................................304
一、信息披露和投资者关系的安排................................................................304
二、股利分配政策............................................................................................304
三、发行前滚存利润的分配安排
....................................................................308
四、股东投票机制建立情况............................................................................308
五、重要承诺....................................................................................................309
第十一节其他重要事项
.........................................................................................312
一、重大合同情况............................................................................................312
二、对外担保情况............................................................................................316
三、重大诉讼或仲裁事项................................................................................316


1-1-18



拓荆科技股份有限公司招股意向书


四、控股股东、实际控制人重大违法情况....................................................317
第十二节声明
.........................................................................................................318
一、发行人全体董事、监事、高级管理人员声明........................................318
二、发行人主要股东声明................................................................................321
三、保荐人(主承销商)声明........................................................................322
四、联席主承销商声明....................................................................................324
五、发行人律师声明
........................................................................................325
六、审计机构声明
............................................................................................326
七、资产评估机构声明(一)
........................................................................327
八、资产评估机构声明(二)
........................................................................328
九、验资机构声明
............................................................................................329
十、验资复核机构声明
....................................................................................330
第十三节附件
.........................................................................................................331
附表
1:发行人拥有的房屋建筑.....................................................................332
附表
2:发行人租赁的房产.............................................................................332
附表
3:发行人已获注册商标清单.................................................................334
附表
4:发行人已获授权专利清单.................................................................337
附表
5:与投资者保护相关的承诺.................................................................345


1-1-19



拓荆科技股份有限公司招股意向书


第一节释义

在本招股意向书中,除非另有所指,下列词语具有如下特定含义:

一、常用词语

发行人、公司、
拓荆科技
指拓荆科技股份有限公司
拓荆有限指沈阳拓荆科技有限公司,发行人前身
姜谦及其一致
行动人

姜谦、吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、
张孝勇等
8名直接持有公司股份的自然人,以及芯鑫和、
芯鑫全、芯鑫龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳、沈
阳盛腾、沈阳盛旺、沈阳盛全、沈阳盛龙等
11个公司员工
持股平台,公司股东
应用材料指
美国应用材料公司(
Applied Materials, Inc.;NASDAQ:
AMAT、港交所:4336),是全球最大的半导体设备和服
务供应商
泛林半导体指
泛林半导体(
Lam Research Corporation;
NASDAQ:
LRCX),台湾称
“科林研发
”,或译
“拉姆研究
”,是
全球领先的半导体设备和服务供应商
美国诺发指
Novellus Systems Inc.,美国知名半导体设备公司,原纳斯
达克上市公司(NASDAQ:NVLS),2012年
6月与泛林
半导体合并
先晶半导体指
荷兰先晶半导体公司(
ASM International),是全球著名的
半导体设备和服务供应商,阿姆斯特丹泛欧交易所上市公
司(股票代码:ASM)
台积电指
台湾积体电路制造股份有限公司,国际领先的晶圆制造代
工大厂
英特尔指
Intel Corporation,美国知名半导体公司,是全球最大的个
人计算机零件和
CPU制造商
三星指
Samsung Electronics Corporation,韩国最大的电子工业企
业,旗下拥有
CPU、存储器、显示器等众多产业
KE指
株式会社日立国际电气(
KOKUSAI ELECTRIC
CORPORATION,简称
“KE”),为日本半导体设备制造
商,从事内存、代工业务,提供批量处理系统和服务
中芯国际指
中芯国际集成电路制造有限公司、中芯国际集成电路制造
(上海)有限公司、中芯国际集成电路制造(深圳)有限
公司、中芯国际集成电路制造(北京)有限公司、中芯国
际集成电路新技术研发(上海)有限公司、中芯北方集成
电路制造(北京)有限公司及其同一控制下的关联方
华虹集团指
华虹半导体(无锡)有限公司、
ICRD、上海华力集成电路
制造有限公司及上海华力微电子有限公司及其同一控制下
的关联方
长鑫存储指
长鑫存储技术有限公司,总部位于中国合肥的
DRAM IDM
厂商
长江存储指
长江存储科技有限责任公司、武汉新芯集成电路制造有限
公司及其同一控制下的关联方,总部位于中国武汉的闪存

1-1-20



拓荆科技股份有限公司招股意向书


IDM厂商
燕东微电子指北京燕东微电子股份有限公司,集成电路
IDM企业
ICRD指
Shanghai IC R&D Center,上海集成电路研发中心有限公司
沈阳富创指
沈阳富创精密设备股份有限公司,及其前身沈阳富创精密
设备有限公司
北方华创指
北方华创科技集团股份有限公司,深圳证券交易所主板上
市公司,证券代码:002371.SZ
芯源微指
沈阳芯源微电子设备股份有限公司,科创板上市公司,证
券代码:688037.SH
盛美上海指
盛美半导体设备(上海)股份有限公司,科创板上市公司,
代码:688082.SH
华海清科指
华海清科股份有限公司,科创板在审企业,代码:
A20569.SH
SEMI指
Semiconductor Equipment and Materials International,国际
半导体产业协会
Gartner指
Gartner Group的缩写,全球权威的
IT研究与顾问咨询公
司,成立于
1979年,总部设在美国康涅狄克州斯坦福。其
研究范围覆盖全部
IT产业,就
IT的研究、发展、评估、
应用、市场等领域,发布论证报告及市场调研报告
Strategy
Analytics、SA

一家全球著名的信息技术,通信行业和消费科技市场研究
机构
本次发行指发行人首次公开发行股票并在上海证券交易所科创板上市
保荐人/保荐
机构/主承销

指招商证券股份有限公司
联席主承销商指国开证券股份有限公司
天健会计师/
发行人会计师
指天健会计师事务所(特殊普通合伙)
发行人律师指北京市中伦律师事务所
证监会、中国
证监会
指中国证券监督管理委员会
上交所、交易

指上海证券交易所
基金业协会指中国证券投资基金业协会
国务院指中华人民共和国国务院
财政部指中华人民共和国财政部
公司法指《中华人民共和国公司法》
证券法指《中华人民共和国证券法》
报告期、报告
期内、报告期
各期

2018年、2019年、2020年和
2021年
1-9月
报告期末指
2021年
9月
30日

1-1-21



拓荆科技股份有限公司招股意向书


报告期各期末指
2018年
12月
31日、2019年
12月
31日、2020年
12月
31
日和
2021年
9月
30日
报告期各年末指
2018年
12月
31日、2019年
12月
31日、2020年
12月
31
日,不包括
2021年
9月
30日
报告期各年度指
2018年、2019年、2020年,不包括
2021年
1-9月
元、万元指人民币元、人民币万元

二、专业术语

硅片指
Silicon Wafer,半导体级硅片,通常也叫晶圆,用于集成电路、分立器
件、传感器等半导体产品制造。按其直径尺寸主要分为
4英寸、6英寸、
8英寸、12英寸等规格
晶圆指
在氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属
化等特定工艺加工过程中的硅片
晶圆制造、芯
片制造

将通过一系列特定的加工工艺,将半导体硅片加工制造成芯片的过程,
分为前道晶圆制造和后道封装测试
晶圆厂指
通过一系列特定的加工工艺,在硅片上加工制造半导体器件的生产厂
商,如:台积电、中芯国际等
IDM指
Integrated Device Manufacture,垂直整合制造,指垂直整合制造商独自
完成集成电路设计、晶圆制造、封装测试的全产业链环节
工艺节点、制
程、关键尺寸

泛指在集成电路生产工艺可达到的最小栅极宽度,尺寸越小,表明工艺
水平越高,意味着在同样面积的晶圆上,可以制造出更多的芯片,或者
同样晶体管规模的芯片会占用更小的空间,主要节点如
90nm、65nm、
40nm、28nm、14nm、7nm、5nm、3nm等
介电常数、k指
又称电容率或相对电容率,表征材料电性能的一个重要数据,低
k值代
表较低的极性
先进制程、先
进工艺

当下时点芯片制造最小技术节点,目前国内通常指
28nm/14nm以下工
艺制程
机台指半导体行业对生产设备的统称
Demo机台指
验证机台。发行人销售活动中,部分客户要求预先验证发行人生产的机
台,待工艺验证通过后转为正式销售。Demo机台通常是新工艺、新机
型的首台设备
Demo订单指针对
Demo机台签订的验证订单
研发产线指
半导体晶圆制造厂在量产产线技术基础上,针对更先进制程,通过对设
备、材料、工艺更高的技术指标设置,开展实验性晶圆制造的生产线
试产线指
半导体晶圆制造厂将经过研发产线验证的工艺应用于小批量试生产的
生产线
量产线指
半导体晶圆制造厂将经过试产线良率验证的工艺投入大规模商业化量
产的生产线
良率指
被测试芯片经过全部测试流程后,测试结果为良品的芯片数量占据全部
被测试电路数量的比例
泛半导体指除集成电路外包含平板显示、LED、太阳能电池等的半导体行业
CMOS、
CMOS工艺

Complementary Metal Oxide Semiconductor(互补金属氧化物半导体),

N-MOS和
P-MOS器件构成的一类芯片,其多晶硅栅极结构有助于
降低器件的阈值电压,从而在低电压下运行,是制造大规模集成电路芯
片使用的一种器件结构

1-1-22



拓荆科技股份有限公司招股意向书


FinFET、
FinFET工艺

Fin Field-Effect Transistor,鳍式场效应晶体管,是一种新的互补式金氧
半导体晶体管,可以改善电路控制并减少漏电流,缩短晶体管的栅长
Fin指
晶体管鱼鳍式闸门,FinFET工艺中,控制晶体管电路连通与断开的新

3D状态闸门
前道、后道指
芯片制造分为前道和后道工艺,前道主要是光刻、刻蚀、薄膜沉积、清
洗、离子注入、化学机械平坦等;后道主要有封装、测试等
封装指
在半导体制造的最后阶段,将一小块材料(如芯片)包裹在支撑外壳中,
以防止物理损坏和腐蚀,并允许芯片连接到电路板的工艺
先进封装指
处于前沿的封装形式和技术。目前,带有倒装芯片(
FC)结构封装、晶
圆级封装(
WLP)、系统级封装(
SiP)、硅通孔技术(
TSV)、2.5D
封装、3D封装等均被认为属于先进封装范畴
2.5D封装指
在两片晶圆间通过添加再分布层和导电层使之互通互联的封装。再分布
层是指在原本晶圆上沉积一层或多层电介质材料用于隔离,再令原本晶
圆上的触点裸露,再淀积新的金属层来实现重新布局布线
3D封装、TSV
先进封装

采用
TSV(Through-siliconvia,硅通孔)技术的封装,又称
TSV先进封
装,目前被认为是半导体行业最先进的技术之一。

TSV可以将芯片堆叠
起来使三维空间被利用起来。更重要的是,
TSV实现了贯穿整个芯片厚
度的电气连接,更开辟了芯片上下表面之间的最短通路。芯片之间连接
的长度变短也意味着更低的功耗和更大的带宽。

3D存储芯片封装也会
在将来大量的用到
TSV封装技术
光刻指
利用光学-化学反应原理将电路图形传递到光掩模上,形成有效图形窗
口或功能图形的工艺技术
刻蚀、Etch指
用化学或物理方法有选择地在硅表面去除不需要的材料的过程,是与光
刻相联系的图形化处理的一种主要工艺,是半导体制造工艺的关键步骤
薄膜沉积指
半导体制造中任何在硅片衬底上沉积一层膜的工艺。这层膜可以是导
体、绝缘物质或者半导体材料。沉积膜可以是二氧化硅、氮化硅、多晶
硅以及金属。薄膜沉积设备在半导体的前段工序
FEOL(制作晶体管等
部件)和后段布线工序
BEOL(将在
FEOL制造的各部件与金属材料连接
布线以形成电路)均有多处应用。

CVD指
Chemical Vapor Deposition,化学气相沉积法。是指化学气体或蒸汽在基
底表面反应合成涂层或纳米材料的方法,是半导体工业中应用最为广泛
的用来沉积多种材料的技术,包括大范围的绝缘材料,大多数金属材料
和金属合金材料
PECVD指
Plasma Enhanced Chemical Vapor Deposition,等离子体增强化学气相沉
积法
ALD指
Atomic Layer Deposition,原子层沉积
PE-ALD指
Plasma Enhanced Atomic Layer Deposition,等离子体增强原子层沉积
Thermal-ALD指
Thermal Atomic Layer Deposition,热处理原子层沉积
SACVD指
Sub-atmospheric Pressure Chemical Vapor Deposition,次大气压化学气相
沉积法
UV Cure指
紫外固化,紫外固化是辐射固化的一种
,是利用紫外线
UV产生辐射聚
合、辐射交联等作用,可以有效改善薄膜的物理性能和化学性能
LPCVD指
Low Pressure Chemical Vapor Deposition,低压力化学气相沉积
PVD指
Physical Vapor Deposition,物理气相沉积
加热盘指
薄膜沉积设备的反应腔体中的一个关键部件,其主要作用是对工艺过程
中支撑晶圆,对晶圆进行加热,并可以提供射频功率的通道

1-1-23



拓荆科技股份有限公司招股意向书


喷淋头指
薄膜沉积设备的反应腔体中的一个关键部件,主要作用是在按设计提供
反应气体和其他气体,同时可以作为射频回路中的上极板
射频、射频系


PECVD设备的反应腔体中的一个关键部件,通过提供稳定的射频输出
源、快速的匹配系统及低损耗的射频回路来激发工艺气体成为高活性、
高能量的等离子体
EFEM指
Equipment front-end module,一种晶圆传输系统,可用于制造设备与晶
圆产线的晶圆传输模块
介质指电介质,亦称绝缘体,是一种不导电的物质
通用介质薄

指在集成电路制造过程中使用的
SiO2、SiN、SiON等介质薄膜
SiO2指硅与氧的化合物二氧化硅,可以作为一种电介质
TEOS指
Tetraethyl orthosilicate,正硅酸乙酯,可作为
SiO2薄膜的反应源
SAF指极高深宽比氧化硅薄膜工艺
BPSG指
Boro-phospho-silicate Glass,即掺杂了硼和磷的二氧化硅
SiN指氮化硅,可以用作芯片制造中的阻挡层、钝化层
SiON、DARC指
Silicon Oxynitride,即氮氧化硅,主要用于光刻过程中的消光作用,提
高曝光效果
PSG指
Phospho-silicate Glass,即掺杂磷的二氧化硅,可用于金属布线层间的绝
缘层、回流介质层和表面钝化保护层
先进介质薄
膜、先进材料
工艺

在集成电路制造过程中使用的
LokⅠ、LokⅡ、ADCⅠ、ADCⅡ、ACHM、
α-Si等介质薄膜
ACHM指非晶碳硬掩膜,该薄膜能够提供良好的刻蚀选择性
LokⅠ指
掺碳氧化硅薄膜,是低介电常数薄膜,主要应用于集成电路芯片后段互
连层间介导层,通过超低介电常数,降低电路的漏电电流,降低导线之
间的电容效应,提高芯片性能
LokⅡ指
超低介电常数薄膜,为
LokⅠ的下一代新型介质薄膜,通过相对于
LokⅠ更低的超低介电常数,降低电路的漏电电流,降低导线之间的电容效
应,提高芯片性能
ADCⅠ指
Nitrogen Doped Carbide,先进掺氮碳化硅薄膜,主要应用于扩散阻挡层
以及刻蚀阻挡层,由于较低的介电常数,可以降低了导线间的电容效率,
提升了芯片整体的传输性能
ADCⅡ指
Oxygen-doped Silicon Carbide,先进掺氧碳化硅薄膜,下一代低介电阻
挡层薄膜
α-Si指
Amorphous Silicon,非晶硅,主要应用在硬掩膜以实现小尺寸高深宽比
的图形传递
ONON叠层、
NO stack、No
stack工艺

氧化物-氮化物-氧化物-氮化物交替的膜堆叠件,沉积
SiO2、SiN制作的
方法中的一个步骤,主要用于
3D NAND闪存芯片制造
Thick TEOS指微米级
TEOS薄膜
SADP指
Self-Aligned Double Patterning,自对准双重成像技术。可用于
FinFET
工艺中
Fin的制造
浅沟槽隔离、
STI

Shallow Trench Isolation,通常用于
0.25um以下工艺,通过图形化工艺
在晶体管结构之间形成槽填充绝缘层,以达到晶圆表面器件之间隔离

1-1-24



拓荆科技股份有限公司招股意向书


BSI、BSI工


Back Side Illumination,背照式图像传感器,一种
CMOS图像传感器制
造工艺
前段、FEOL指
前段(FEOL)指对芯片有源部分的制造工序,即位于芯片硅衬底上的
晶体管
后段、BEOL指
后段(BEOL)指在晶体管上部建立若干层的导电金属线,不同层金属
线之间由柱状金属相连的制造
层间介质、
ILD

Inter Layer Dielectric,是在晶体管与第一层金属之间形成的介质材料层
金属绝缘层、
IMD

Inter Metal Dielectric,是金属导线之间的介电材料层
钝化层指晶圆表面起到保护功能的一层薄膜
硬掩模指
先进制程晶圆制造光刻工艺的中间层,利用光刻胶将图形转移到硬掩
模,再通过硬掩模将图形转移到待刻蚀的底层薄膜材料上
刻蚀阻挡层指
在多层介质膜刻蚀时,利用刻蚀速率的差异进而对刻蚀具有选择性,起
到了阻挡刻蚀继续进行以及刻蚀工艺终止的作用
金属前绝缘
层、PMD

Pre-Metal Dielectric,是沉积金属前的介质层,其界于栅极与第一层金属
之间,起到绝缘介质的作用
NFDARC、
SiOC

Nitrogen Free Dielectric anti-reflective coating,即无氮元素的介电抗反射
涂层,在光刻机曝光时起到抗反射的作用,从而实现理想图形的刻蚀

本招股意向书除特别说明外,所有数值保留
2位或
4位小数,若出现总数与
各分项数值之和尾数不符的情况,均为四舍五入原因造成。


1-1-25



拓荆科技股份有限公司招股意向书


第二节概览

本概览仅针对招股意向书全文做扼要提示。投资者作出投资决策前,应认真
阅读招股意向书全文。


一、发行人及本次发行的中介机构基本情况
(一)发行人基本情况

发行人名称拓荆科技股份有限公司成立日期
2010年
4月
28日
注册资本
9,485.8997万元法定代表人吕光泉
注册地址辽宁省沈阳市浑南区水家
900号
主要生产
经营地址
辽宁省沈阳市浑南
区水家
900号
控股股东无实际控制人无
行业分类专用设备制造业(C35)
在其他交易场所
(申请)挂牌或
上市的情况


(二)本次发行的有关中介机构

保荐人(主承销商)招商证券股份有限公司联席主承销商国开证券股份有限公司
发行人律师北京市中伦律师事务所审计机构
天健会计师事务所(特殊
普通合伙)
评估机构
北京中企华资产评估有限
责任公司、沃克森(北京)
国际资产评估有限公司

二、本次发行概况
(一)本次发行的基本情况

股票种类人民币普通股(A股)
每股面值人民币
1.00元
发行股数
3,161.9800万股
其中:发行新股数量
3,161.9800万股占发行后总股本比例
25%
股东公开发售股份数量无占发行后总股本比例无
发行后总股本
12,647.8797万股
每股发行价格【】元
定价方式
由发行人与主承销商自行协商确定,包括但不限于通过向询价对
象询价并结合当时市场情况确定发行价格

1-1-26



拓荆科技股份有限公司招股意向书


发行市盈率【】倍(按每股发行价格除以本次发行后每股收益计算)
发行前每股净资产
12.45元(按
2021

9月
30日经审计
的归属于母公司所
有者权益除以本次
发行前总股本计算)
发行前每股收益
-0.60元(按
2020
年度经审计的扣
除非经常性损益
前后孰低的归属
于母公司股东的
净利润除以本次
发行前总股本计
算)
发行后每股净资产
【】元(按【】年【】
月【】日经审计的归
属于母公司所有者
权益加上本次发行
募集资金净额之和
除以本次发行后总
股本计算)
发行后每股收益
【】元(按【】
年度经审计的扣
除非经常性损益
前后孰低的归属
于母公司股东的
净利润除以本次
发行后总股本计
算)
发行市净率【】倍(按每股发行价格除以本次发行后每股净资产计算)
发行方式
本次发行采用向战略投资者定向配售、网下向符合条件的投资者
询价配售和网上向持有上海市场非限售
A股股份和非限售存托
凭证市值的社会公众投资者定价发行相结合的方式进行
发行对象
符合资格的战略投资者、询价对象以及已开立上海证券交易所股
票账户并开通科创板交易的境内自然人、法人等科创板市场投资
者,但法律、法规及上海证券交易所业务规则等禁止参与者除外
承销方式余额包销
拟公开发售股份股东
名称

发行费用的分摊原则无
募集资金总额【】万元
募集资金净额【】万元
募集资金投资项目
高端半导体设备扩产项目、先进半导体设备技术研发与改进项
目、ALD设备研发和产业化项目、补充流动资金
发行费用概算
本次发行费用明细如下:
(1)保荐及承销费用:根据募集资金总额相应确定,募集资金
总额未超过
100,029.65万元(含
100,029.65万元),保荐承销费
用为募集资金总额的
4.5%;募集资金总额超过
100,029.65万元,
超出部分按
6%收取承销费用;
(2)审计及验资费用:
1,188.68万元;
(3)律师费用:
613.21万元;
(4)用于本次发行的信息披露费用:
518.87万元;
(5)发行手续费用:
13.86万元。

(注:1、本次发行各项费用均为不包含增值税的金额,各项费
用根据发行结果可能会有所调整;2、上述发行手续费中暂未包
含本次发行的印花税,税基为扣除印花税前的募集资金净额,税
率为
0.025%,将结合最终发行情况计算并纳入发行手续费。)

(二)预计发行上市的重要日期

1-1-27


拓荆科技股份有限公司招股意向书


序号内容日期
1刊登初步询价公告日期
2022年
3月
29日
2初步询价日期
2022年
4月
1日
3刊登发行公告日期
2022年
4月
7日
4申购日期
2022年
4月
8日
5缴款日期
2022年
4月
12日
6股票上市日期
本次股票发行结束后将尽快申请在
上海证券交易所科创板上市

三、主要财务数据和财务指标

公司聘请天健会计师对本次申报的财务报表及财务报表附注进行了审计,本
公司报告期主要财务数据和财务指标如下:

项目
2021.9.30/
2021年
1-9月
2020.12.31/
2020年度
2019.12.31/
2019年度
2018.12.31/
2018年度
资产总额
(万元)
234,529.89 181,406.91 107,411.69 92,644.56
归属于母公司
所有者权益
(万元)
118,090.11 112,220.97 81,426.96 69,415.35
资产负债率
(母公司)
48.25% 36.41% 24.19% 25.07%
营业收入
(万元)
37,389.57 43,562.77 25,125.15 7,064.40
净利润(万元)
5,704.87 -1,169.99 -1,936.64 -10,322.29
归属于母公司
所有者净利润
(万元)
5,796.38 -1,148.90 -1,936.64 -10,322.29
扣除非经常损
益后归属于母
公司所有者净
利润(万元)
-2,305.21 -5,711.62 -6,246.63 -14,993.05
基本每股收益
(元)
0.61 / / /
稀释每股收益
(元)
0.61 / / /
加权平均净资
产收益率
5.03% -1.11% -2.83% -13.86%
经营活动产生
的现金流量净
额(万元)
-7,597.27 30,891.27 -2,175.61 -12,801.52
现金分红
(万元)
----

1-1-28



拓荆科技股份有限公司招股意向书


项目
2021.9.30/
2021年
1-9月
2020.12.31/
2020年度
2019.12.31/
2019年度
2018.12.31/
2018年度
研发投入占营
业收入的比例
34.65% 28.19% 29.58% 152.84%

四、发行人的主营业务经营情况
(一)主营业务

拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公
司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。

公司主要产品包括等离子体增强化学气相沉积(
PECVD)设备、原子层沉积
(ALD)设备和次常压化学气相沉积(
SACVD)设备三个产品系列,已广泛应
用于国内晶圆厂
14nm及以上制程集成电路制造产线,并已展开
10nm及以下制
程产品验证测试。


拓荆科技是国内唯一一家产业化应用的集成电路
PECVD、SACVD设备厂
商,以前后两任董事长为核心的五名国家级海外高层次专家组建起一支国际化的
技术团队,形成了三大类半导体薄膜设备产品系列,先后四次承担国家重大科技
专项/课题,被中国半导体行业协会评为
2016年度、2017年度、2019年度“中
国半导体设备五强企业”。


截至
2022年
3月
8日,公司已获授权专利
174项(境内
153项,其他国家
或地区
21项),其中发明专利
98项(境内
77项,其他国家或地区
21项)。公
司获得
2017年辽宁省政府颁发的“辽宁省科学技术进步一等奖”,中国电子专
用设备工业协会
2016年度“中国半导体创新产品”认证,
2019年国家知识产权
局颁发的“国家知识产权示范企业”称号,
2021年中国集成电路创新联盟颁发
的“技术创新奖”。


(二)主要经营模式


1、盈利模式

公司主要从事高端半导体专用设备的研发、生产、销售及技术服务,通过向
下游集成电路制造企业、其他泛半导体行业企业和科研院所等客户,销售
PECVD、ALD和
SACVD设备并提供备品备件及技术服务来实现收入和利润。

报告期内,公司主营业务收入来源于半导体设备的销售,其他业务收入主要来源

1-1-29



拓荆科技股份有限公司招股意向书


于设备有关的备品备件销售及技术服务。



2、研发模式

公司主要采用自主研发的模式。公司建成了一支国际化、专业化的半导体薄
膜沉积设备研发技术团队。公司的研发技术团队结构合理,分工明确,专业知识
储备深厚,产线验证经验丰富,是公司自主研发能力的基石。


公司根据客户需求、半导体专用设备技术动态和国家重大科技专项目标为导
向,研发设计新产品、新工艺,制造研发机台,调试性能参数,在通过公司测试
之后,送至客户实际生产环境中进行产业化验证,通过验证后产品正式定型。此
外,公司会根据客户不同的工艺应用需求,持续丰富、完善量产产品功能。



3、采购模式

公司采购主要分为标准件采购和非标件采购。对于标准件采购,公司面向市
场供应商进行直接采购。非标件主要为公司研发生产中,根据特定技术需求,自
行设计的零部件。对于非标件采购,公司主要通过向供应商提供设计图纸、明确
参数要求,由供应商自行采购原材料进行加工并完成定制;针对特定零部件,公
司存在提供图纸及参数,并向供应商提供原材料,委托供应商完成定制化加工的

情形。



4、生产模式

公司的产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产
制造。公司主要采用库存式生产和订单式生产相结合的生产模式。库存式生产,
指公司尚未获取正式订单便开始的生产活动,包括根据
Demo订单或较明确的客
户采购意向启动的生产活动。订单式生产,指公司与客户签署正式订单后进行的
生产活动。


库存式生产,适用于公司的
Demo机台和部分销售机台(非
Demo机台)。

对于
Demo机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,便
开始组织生产,完工后以
Demo订单的形式发往客户端进行验证。一般在
Demo
机台获得客户端验证通过后,客户才会下达正式订单进行采购。对于部分销售机
台,公司在与客户接洽过程中,若判断客户有较明确的采购预期,便安排销售机
台的通用模块的生产,如薄膜沉积设备的平台部分,以缩短交货时间。在生产通

1-1-30



拓荆科技股份有限公司招股意向书


用模块的过程中,公司继续与客户沟通相关的业务细节。部分销售机台,也可能
在生产完成后,公司才获取相应的正式订单。


订单式生产,适用于公司的大部分的销售机台。对于该类的销售,公司在与
客户沟通完所有相关业务条款后,便与客户签署正式订单。此时,公司可能已完
成部分或全部模块的生产,或尚未开始生产活动。若尚有模块未完成生产,公司(未完)
各版头条