拓荆科技(688072):拓荆科技首次公开发行股票并在科创板上市招股说明书

时间:2022年04月13日 19:27:40 中财网

原标题:拓荆科技:拓荆科技首次公开发行股票并在科创板上市招股说明书

本次股票发行后拟在科创板市场上市,该市场具有较高的投资风险。科创板 公司具有研发投入大、经营风险高、业绩不稳定、退市风险高等特点,投资者面 临较大的市场风险。投资者应充分了解科创板市场的投资风险及本公司所披露的 风险因素,审慎作出投资决定。 拓荆科技股份有限公司 (辽宁省沈阳市浑南区水家 900号) 首次公开发行股票并在科创板上市招股说明书 保荐机构(主承销商) (深圳市福田区福田街道福华一路 111号) 联席主承销商 发行人声明
中国证监会、交易所对本次发行所作的任何决定或意见,均不表明其对注册申请文件及所披露信息的真实性、准确性、完整性作出保证,也不表明其对发行人的盈利能力、投资价值或者对投资者的收益作出实质性判断或保证。任何与之相反的声明均属虚假不实陈述。

根据《证券法》的规定,股票依法发行后,发行人经营与收益的变化,由发行人自行负责;投资者自主判断发行人的投资价值,自主作出投资决策,自行承担股票依法发行后因发行人经营与收益变化或者股票价格变动引致的投资风险。

发行人及全体董事、监事、高级管理人员承诺招股说明书及其他信息披露资料不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律责任。

发行人第一大股东承诺本招股说明书不存在虚假记载、误导性陈述或重大遗漏,并对其真实性、准确性、完整性承担个别和连带的法律责任。

公司负责人和主管会计工作的负责人、会计机构负责人保证招股说明书中财务会计资料真实、完整。

发行人及全体董事、监事、高级管理人员以及保荐人、承销的证券公司承诺因发行人招股说明书及其他信息披露资料有虚假记载、误导性陈述或者重大遗漏,致使投资者在证券发行和交易中遭受损失的,将依法赔偿投资者损失。

保荐人及证券服务机构承诺因其为发行人本次公开发行制作、出具的文件有虚假记载、误导性陈述或者重大遗漏,给投资者造成损失的,将依法赔偿投资者损失。

本次发行概览

发行股票类型人民币普通股(A股)
发行股数、股东公开发售股数 (如有)本次公开发行股票采用公开发行新股方式,公开发行 3,161.9800万股,占发行后总股本的 25.00%。本次发行中, 公司股东不进行公开发售股份。
每股面值人民币 1.00元
每股发行价格人民币 71.88元
发行日期2022年 4月 8日
拟上市的证券交易所和板块上海证券交易所科创板
发行后总股本12,647.8797万股
保荐机构(主承销商)招商证券股份有限公司
联席主承销商国开证券股份有限公司
发行人高级管理人员、员工参 与战略配售情况2022 年 1月 4日,公司召开第一届董事会第七次会议,审 议通过了《关于公司高级管理人员及核心员工参与公司首次 公开发行股票并在科创板上市战略配售的议案》,同意公司 高级管理人员与核心员工设立专项资产管理计划并参与公 司本次发行战略配售。2022年 3月 18日,经发行人董事长 授权签署了《关于调整战略配售计划参与人员认购金额及比 例的通知》。前述资管计划参与战略配售数量为 308.0974 万股,占本次公开发行规模的 9.74%,参与认购规模为人民 币 22,256.7713 万元(含新股配售经纪佣金)。招商资管拓 荆科技员工参与科创板战略配售集合资产管理计划承诺获 得本次配售的股票持有期限为自发行人首次公开发行并上 市之日起 12个月。
保荐人相关子公司参与战略 配售情况保荐机构安排子公司招商证券投资有限公司参与本次发行 战略配售,最终跟投比例为本次公开发行股份的 3%,即 94.8594万股,参与认购规模为人民币 6,818.4937万元。招 商证券投资有限公司本次跟投获配股票的限售期为 24 个 月,限售期自本次公开发行的股票在上交所上市之日起开始 计算。
招股说明书签署日2022年 4月 14日
重大事项提示
本重大事项提示仅对本公司特别事项及重大风险做扼要提示。投资者应认真阅读本招股说明书正文内容,对本公司做全面了解。

本公司特别提醒投资者注意以下事项:
一、重大风险因素
(一)技术人员流失及无法持续引入高端技术人才的风险
公司所处的半导体专用设备行业属于技术密集型行业,涉及等离子体物理、射频及微波学、微观分子动力学、结构化学、光谱及能谱学、真空机械传输等多种科学技术及工程领域学科知识的综合应用,高端技术人才是企业持续发展和保持竞争力的原动力。

近年来,国内半导体专用设备市场及晶圆制造需求不断增长,行业内人才竞争日益激烈,专业技术人才呈现严重短缺的情形。报告期各期,公司研发人员离职人数分别为19人、15人、22人和27人,研发人员离职率分别为13.10%、10.95%、13.02%和 12.50%,存在一定的研发人员流失风险。公司若无法持续为技术人才提供较优的薪酬待遇和发展平台,无法持续吸引全球高端技术人才,则将面临技术人才流失、储备不足的局面,并可能导致公司创新能力不足。

(二)扣除非经常性损益后尚未盈利及持续亏损风险
报告期内,公司净利润分别为-10,322.29万元、-1,936.64万元、-1,169.99万元及 5,704.87 万元,扣除非经常性损益后归属于母公司所有者的净利润分别为-14,993.05万元、-6,246.63万元、-5,711.62万元和-2,305.21万元。报告期内,公司扣除非经常性损益后尚未实现盈利,主要由于半导体设备行业技术含量高,研发投入大,产品验证周期长,公司需要持续进行了大量的研发投入。报告期内,公司研发费用分别为 10,797.31万元、7,431.87万元、12,278.18万元和 12,955.63万元,占各期营业收入的比例为 152.84%、29.58%、28.19%和 34.65%。研发费用金额较高和占营业收入的比例较大,是公司亏损主要原因。

报告期内,公司扣除非经常性损益后的亏损虽已逐年收窄,但如果未来发生市场竞争加剧、宏观经济和半导体产业的景气度下行、主要客户削减资本性支出预算、公司大幅增加研发投入或公司不能有效拓展客户等情形,将使公司面临一定的经营压力,公司未来一定期间内仍存在无法实现扣除非经常性损益后盈利的风险。

(三)产品验收周期较长风险
晶圆制造属于高精密制造领域,对产线上各环节的良率要求极高,任何进入量产线的设备均需经过长时间工艺验证和产线联调联试。特别是对薄膜沉积设备而言,由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备是否最终满足技术标准。因此,晶圆厂对薄膜沉积设备所需要的验证时间相比其他半导体专用设备可能更长。

对于新客户的首台订单或新工艺订单设备,一般从前期的客户需求沟通、方案设计、样机试制、场内工艺测试与调优到客户端样机安装调试、工艺验证到最后的工艺验证和产品验收通过,整个流程可能需要 6-24 个月甚至更长时间。对于重复订单设备,由于已通过客户工艺验证,新到设备的工艺技术一般无需做较大改动,从出货到设备验收通常需要 3-24 个月的时间。如此宽幅的验收周期时间波动主要是受到客户产线条件、客户端安装调试、客户工艺要求调整、客户验收流程限制以及其他偶然性因素的影响。

如果受某些因素影响,公司产品验收周期延长,公司的收入确认将有所延迟。

另外,可能存在公司设备验收不通过、收款时间延后等风险,增加公司的资金压力,影响公司的财务状况。

(四)收入依赖 PECVD系列产品,ALD产品及 SACVD产品尚未得到大
规模验证的风险
公司主要产品为半导体薄膜沉积设备,报告期内,公司 PECVD、ALD、SACVD三大类薄膜沉积设备已得到产业化应用。由于公司 PECVD设备推出较早,产品线较为丰富,下游市场应用广阔,国内市场成熟。报告期内,PECVD销售收入占发行人主营业务收入比例较高,分别为 77.98%、100.00%、97.55%和88.69%。目前,公司 ALD、SACVD均处于产品发往不同客户端进行产线验证的市场开拓阶段,形成批量销售需经过不同客户的验证,周期存在不确定性。

ALD设备系集成电路先进制程晶圆制造的关键设备,在 14nm及以下制程逻辑芯片、17nm及以下 DRAM芯片中有着广泛应用。SACVD设备系 40nm以下逻辑电路制造、高性能存储芯片高深宽比填充的关键设备。晶圆制造产线制程越先进,对于 ALD、SACVD设备数量的需求越多。我国集成电路制造产业起步较晚,晶圆制造产线制程与国际先进水平相比较为落后,先进制程产线处于发展建设阶段,具备先进制程晶圆制造能力的厂商较少。如果国内先进制程晶圆制造产线发展不及预期,市场对 ALD、SACVD设备的需求增长较小,发行人 ALD及SACVD设备未来销售增长将受到限制。

(五)Demo机台无法实现最终销售的风险
公司产品主要根据客户的差异化需求和采购意向,进行定制化设计及生产制造,主要采用库存式生产和订单式生产相结合的生产模式。订单式生产,指公司与客户签署正式订单后进行的生产活动。库存式生产,指公司尚未获取正式订单便开始的生产活动,包括根据 Demo订单或较明确的客户采购意向启动的生产活动。

对于 Demo机台,通常在公司与客户充分沟通产品型号、参数、配置等信息,便开始组织生产,完工后以 Demo订单的形式发往客户端进行验证。一般在 Demo机台获得客户端验证通过后,客户才会下达正式订单进行采购。

截至报告期末,公司发出商品共计 74台,其中尚未获取正式订单,仅通过Demo订单等形式安排发运的设备共计 25台,占比为 33.78%。如果遇到集成电路产业景气度大幅下滑、客户需求大幅减弱、订单意外取消等不利因素,可能导致 Demo机台未来最终无法获得客户验证通过,相关机台可能无法实现销售,公司可能面临调整生产计划、更换已完工机台的部分模块导致生产成本加大、存货库龄加长等情形,对公司的生产、业绩造成不利影响。

(六)技术创新风险
随着全球半导体行业的蓬勃发展,半导体行业技术日新月异,下游客户对薄膜沉积设备兼容的材料类型、电性能、机械性能、薄膜均匀度等需求也随之不断变化。因此,公司需要持续保持较大的研发投入,紧跟制造工艺、基础学科发展的最新方向,积极实验探索新技术路线、新设计思路、新材料性能。

如果公司未来未能准确理解下游客户的产线设备及工艺技术演进需求,或者技术创新产品不能契合客户需求,无法适应下游芯片制造工艺节点继续缩小或芯片制造新技术出现,可能导致公司设备无法满足下游生产制造需要,将可能对公司的经营业绩造成不利影响。

(七)市场竞争风险
半导体设备行业具有很高的技术壁垒、市场壁垒和客户准入壁垒。目前公司的竞争对手主要为国际知名半导体设备制造商,与中国大陆半导体专用设备企业相比,国际巨头企业拥有客户端先发优势,产品线丰富、技术储备深厚、研发团队成熟、资金实力较强等优势,国际巨头还能为同时购买多种产品的客户提供捆绑折扣。2019年度,在 CVD设备全球市场中,应用材料(AMAT)、泛林半导体(Lam)、东京电子(TEL)的市场占有率分别为 30%、21%和 19%;在 ALD设备全球市场中,东京电子(TEL)、先晶半导体(ASMI)的市场占有率分别为 31%和 29%。相比国际巨头,公司的综合竞争力处于弱势地位,市场占有率较低。

另外,国内半导体设备厂商存在互相进入彼此业务领域,开发同类产品的可能。例如,在 ALD设备领域,除发行人外,北方华创、盛美上海、屹唐股份及中微公司已推出自产设备或有进入 ALD设备市场的计划。

公司面临国际巨头以及潜在国内新进入者的双重竞争。如果公司无法有效应对市场竞争环境,则公司的行业地位、市场份额、经营业绩等均会受到不利影响。

(八)客户相对集中的风险
报告期内,公司前五大客户主营业务销售金额占当期主营业务收入的比重分别为 100.00%、84.02%、83.78%和 92.44%。前五大客户集中度较高,主要由于集成电路制造行业属于资本和技术密集型,国内外主要集成电路制造商均呈现经营规模大、数量少的行业特征。公司客户集中度较高可能会导致公司在商业谈判中处于弱势地位,且公司的经营业绩与下游半导体厂商的资本性支出密切相关,客户自身经营状况变化也可能对公司产生较大的影响。如果公司后续不能持续开拓新客户或对少数客户形成重大依赖,将不利于公司未来持续稳定发展。

(九)无控股股东及实际控制人风险
截至本招股说明书签署日,公司单个股东持有或控制的股份数量均未超过公司总股本的 30%,无法形成控股,单个股东亦不能决定董事会多数席位,使得公司无控股股东及实际控制人。公司经营方针及重大事项的决策均由股东大会或董事会按照公司议事规则充分讨论后确定,避免了因单个股东控制引起决策失误而导致公司出现重大损失的可能,但不排除存在因无控股股东及实际控制人导致公司决策效率低下的风险。

此外,由于公司股权相对分散,不排除未来公司无控股股东的状态发生变化,导致公司经营管理思路发生变化的风险。

二、本次发行相关主体作出的重要承诺
公司提示投资者认真阅读公司、股东、董事、监事、高级管理人员、核心技术人员以及本次发行的保荐机构及证券服务机构等作出的各项重要承诺、未能履行承诺的约束措施,具体内容详见本招股说明书“第十节 投资者保护”之“五、重要承诺”。

三、利润分配政策
(一)发行前滚存利润的分配安排
2021年 3月 31日,公司 2021年第二次临时股东大会作出决议,公司首次公开发行股票前的滚存未分配利润或累计未弥补亏损将由发行后的新老股东按照届时的持股比例共同享有或承担。

(二)发行后的股利分配政策及未来三年分红回报规划
具体内容参见本招股说明书“第十节 投资者保护”之“二、股利分配政策”及“第十节 投资者保护”之“五、重要承诺”之“(六)利润分配政策的承诺”。

四、财务报告审计截止日后经营情况及主要财务信息
(一)财务报告审计截止日后经营情况、主要财务信息
财务报告审计截止日至本招股说明书签署日,公司所处行业的产业政策及行业周期,进出口业务状态,税收政策,业务模式及竞争趋势,主要原材料的采购规模及采购价格,主要产品的生产、销售规模及销售价格,主要客户及供应商的构成,重大合同条款或实际执行情况均未发生重大变化,不存在新增对未来经营可能产生较大影响的诉讼或仲裁事项,不存在重大安全事故,经营情况与经营业绩较为稳定,总体运营情况良好,不存在重大异常变动情况,不存在其他可能影响投资者判断的重大事项。

公司 2021年度财务数据已经天健会计师审阅,主要财务数据如下: 1、合并资产负债表主要财务数据
单位:万元

项目2021年 12月 31日2020年 12月 31日变动金额变动比率
资产总额251,772.82181,406.9170,365.9138.79%
所有者权益119,333.76112,249.877,083.896.31%
归属于母公司 所有者权益119,260.77112,220.977,039.806.27%
截至 2021年 12月 31日,公司资产总额 251,772.82万元,较 2020年 12月31日增长 70,365.91万元,增幅 38.79%,主要原因如下:
(1)公司业务规模逐年增长,使得存货增长 44,107.78万元,应收账款增长3,071.29万元。

(2)2021年,公司为进一步扩大产能,新增上海闵联临港园区三期标准厂房等固定资产投资,使得固定资产及在建工程增长 12,453.82万元。

(3)其他流动资产增长 7,761.86万元,主要系增值税留抵税额的增长。

截至 2021年 12月 31日,公司所有者权益和归属于母公司所有者权益分别为 119,333.76万元、119,260.77万元,较 2020年 12月 31日有所增加,增幅分别为 6.31%、6.27%。

2、合并利润表及合并现金流量表主要财务数据
单位:万元

项目2021年 10-12月2020年 10-12月变动幅度2021年度2020年度变动幅度
项目2021年 10-12月2020年 10-12月变动幅度2021年度2020年度变动幅度
营业收入38,406.5227,232.8841.03%75,796.0943,562.7773.99%
营业利润1.584,454.92-99.96%5,628.70-1,375.68-
利润总额999.584,459.13-77.58%6,737.85-1,169.99-
净利润987.864,459.13-77.85%6,692.73-1,169.99-
归属于母公 司股东的净 利润1,052.274,480.22-76.51%6,848.65-1,148.90-
扣除非经常 性损益后归 属于母公司 股东的净利 润-5,894.981,176.03--8,200.19-5,711.62-
经营活动产 生的现金流 量净额21,345.3341,969.59-49.14%13,748.0630,891.27-55.50%
注:负数无法计算变动比率。

2021 年四季度,公司营收规模进一步扩大,较 2020 年同期增长 11,173.64万元,同比增长 41.03%;2021 年全年公司营业收入较 2020 年度同比增长32,233.32万元,增幅 73.99%,主要是由于:①国内半导体设备行业需求增长,为公司业绩增长提供了良好的产业环境;②公司持续大量的研发投入加快了公司产品升级创新的速度和产品成熟度,增强了产品竞争力和客户认可度。

2021年四季度,公司归属于母公司股东的净利润较2020年同期下降3,427.95万元,降幅 76.51%,主要系 2021年四季度公司营业收入较 2020年四季度增长的同时,营业成本相应增长 4,464.65万元,研发费用相应增长 10,851.58万元。

2021年全年,公司归属于母公司股东的净利润较 2020年度增加 7,997.55万元,主要系公司营业收入大幅增长。

2021 年四季度,公司经营活动产生的现金流量净额较上年同期下降
20,624.26万元,2021年全年公司经营活动产生的现金流量净额较 2020年度下降17,143.21 万元,主要由于发行人业务规模扩大,原材料等采购量增加,采购支出随之增加,另外公司员工人数增加,工资性支出增多。

3、非经常性损益情况
2021年度,公司归属于母公司股东的非经常性损益合计金额为 15,048.84万元,主要系计入当期损益的政府补助。2021 年度计入当期损益的政府补助金额为 15,553.46万元。

(二)2022年一季度业绩预计情况
公司 2022年一季度预计业绩情况如下:
单位:万元

项目2022年 1-3月2021年 1-3月变动金额变动比率
营业收入10,000.00至 12,000.005,774.104,225.90至 6,225.9073.19%至 107.82%
归属于母公司 股东的净利润-2,000.00至 -700.00-1,032.66-967.34至 332.66-
扣除非经常性 损益后归属于 母公司股东的 净利润-3,000.00 至 -1,600.00-2,400.90-599.10 至 800.90-
注:负数无法计算变动比率。

前述 2022年一季度业绩情况系公司初步预计数据,不构成公司的盈利预测或业绩承诺。

五、其他重大事项
(一)员工股持股平台借款及股份质押
芯鑫和等 7个公司员工持股平台,与外部投资人以相同价格参与了公司 2019年 12月的增资。员工持股平台资金来自本次增资的机构投资人或其关联方贷款,合计金额 18,354.00万元。因该等借款,上述 7个员工持股平台将增资取得的发行人股份 966万股质押给贷款方。借款、质押详细情况,请见本招股说明书“第五节 发行人基本情况”之“九、持有发行人 5%以上股份或表决权的主要股东及实际控制人的基本情况”之“(三)其他持有发行人 5%以上股份或表决权的主要股东的基本情况”之“2、持有发行人 5%以上股份或表决权的主要股东所持发行人股份质押或其他有争议的情况”部分。

如果芯鑫和等 7个公司员工持股平台未能按照借款协议约定按期偿还借款,存在质权人行使质权,使得员工持股平台所持发行人股权权属变更的可能性,并存在进一步影响发行人管理层及员工稳定性的风险。

(二)股东纠纷及股份冻结
截至本招股说明书签署日,润扬嘉禾直接持有发行人 6.5710%股份,共青城盛夏直接持有发行人 0.8212%股份;润扬嘉禾与共青城盛夏存在诉讼纠纷。

1、纠纷由来
共青城盛夏原系润扬嘉禾的有限合伙人,持有润扬嘉禾 5,235.2715万元出资额,出资比例为 39.6611%。

(1)股权下翻纠纷
根据太原市杏花岭区人民法院 2021年 8月 6日作出的《民事判决书》((2021)晋 0107民初 2219号)查明和认定的事实,时任润扬嘉禾普通合伙人青岛润扬锐捷工程科技有限公司(以下简称“润扬锐捷”)未经其他合伙人一致同意,与润扬嘉禾于 2020年 4月 26日与共青城盛夏签订《关于青岛润扬嘉禾投资合伙企业(有限合伙)之合作补充协议》(以下简称《合作补充协议》),约定共青城盛夏有权要求润扬嘉禾将共青城盛夏对润扬嘉禾出资所对应的拓荆科技股权转让给共青城盛夏,从而实现共青城盛夏间接所持发行人股份的下翻。2020 年 6-7月,共青城盛夏向润扬嘉禾提出退伙申请,称其自身不满足私募基金备案条件,并主张根据其与润扬锐捷签订的《合作补充协议》,要求润扬嘉禾将共青城盛夏对润扬嘉禾出资所对应的拓荆科技股权转让给共青城盛夏以完成退伙结算。就股权下翻,润扬嘉禾和共青城盛夏始终未达成一致。

(2)润扬嘉禾基金备案事宜
在润扬嘉禾管理人向基金业协会递交基金备案材料后,2020 年 5 月,共青城盛夏在其自身股权结构中引入新的投资人,且新的投资人中存在最终出资人不符合私募基金合格投资者条件的情形,因而润扬嘉禾私募基金备案工作未能如期完成。

(3)润扬嘉禾将共青城盛夏除名
2020年 10月 23日,润扬嘉禾认为共青城盛夏不符合合格投资者的法律规定,触发“法律规定或《合伙协议》约定合伙人必须具有相关资格而丧失该资格”的当然退伙约定,且不配合进行私募基金备案,给合伙企业造成损失。经其他合伙人一致同意,将共青城盛夏从合伙中除名。2021年 1月 12日,润扬嘉禾完成2、诉讼
(1)案件一
2020 年 11 月 17 日,共青城盛夏向青岛市黄岛区人民法院对润扬嘉禾提起诉讼,请求黄岛区人民法院判决润扬嘉禾上述将共青城盛夏除名的《会议决议》无效。提交答辩状期间,润扬嘉禾提出管辖权异议。经黄岛区人民法院裁定,润扬嘉禾提出的异议成立,案件移送太原市杏花岭区人民法院管辖。2021年 8月 6日,太原市杏花岭区人民法院作出一审判决,驳回共青城盛夏的诉讼请求,共青城盛夏对该判决不服,于 2021年 8月 12日向太原市中级人民法院提起上诉。2022年 2月 25日,太原市中级人民法院作出终审判决,驳回上诉,维持原判。

(2)案件二
2021年 1月 31日,共青城盛夏向江西省九江市中级人民法院对润扬嘉禾及其出资人提起诉讼,主张其入伙润扬嘉禾的目的在于通过润扬嘉禾间接持有拓荆科技股权,在即将实现投资回报的情况下,润扬嘉禾合伙人的除名决定使其丧失了在润扬嘉禾的财产份额,侵占了其间接持有的拓荆科技股权,诉请被告向其返还拓荆科技 275.5406 万股股份。提交答辩状期间,润扬嘉禾提起管辖权异议,九江市中级人民法院裁定异议成立,并于 2021年 4月 16日作出《民事裁定书》,裁定将上述案件移送山西省太原市中级人民法院。共青城盛夏不服该管辖权移送裁定,向江西省高级人民法院提起上诉,2021年 7月 7日,江西省高级人民法院作出终审裁定,将该案件移送太原市中级人民法院处理。截至本招股说明书签署日,该案件尚在审理中。

3、股份冻结
在案件二中,共青城盛夏向九江市中级人民法院申请冻结润扬嘉禾所持发行人 6.5710%股份(对应 623.3158万股股份),发行人已收到九江市中级人民法院于 2021年 3月 1日发出的(2021)赣 04执保 49号《协助执行通知书》,冻结期限自《协助执行通知书》送达之日满两年。

共青城盛夏要求润扬嘉禾返还的前述发行人 275.5406 万股股份占发行人股本总额比例为 2.9047%,占比较低。因此,润扬嘉禾与共青城盛夏之间的诉讼不会对发行人的股权稳定性构成重大不利影响,不构成本次发行上市的实质性法律障碍,也不会对发行人的业务经营造成重大不利影响。

(三)发行人与高级管理人员共同投资行为
发行人持有拓荆键科(海宁)半导体设备有限公司(以下简称“拓荆键科”)55%的股权,其他股东海宁君鑫科技合伙企业(有限合伙)(以下简称“海宁君鑫”)、海宁展阳科技合伙企业(有限合伙)(以下简称“海宁展阳”)、海宁展博科技合伙企业(有限合伙)(以下简称“海宁展博”)、海宁经开产业园区开发建设有限公司(以下简称“海宁经开公司”)分别持有拓荆键科 20%、10%、10%、5%的股权。

截至本招股说明书签署日,海宁展阳由刘静、张家荣分别持有 98%、2%的出资份额,海宁展博由孙丽杰、刘春分别持有 98%、2%的出资份额。刘静为发行人副总经理兼财务负责人并登记为海宁展阳的普通合伙人(执行合伙事务),孙丽杰为发行人副总经理并登记为海宁展博的普通合伙人(执行合伙事务)。

海宁展阳、海宁展博两个员工持股平台系为吸引优秀外部人才加入拓荆键科而设立。由于拓荆键科尚处于初创阶段,人员建制还未齐备,为管理需要,发行人委派高级管理人员刘静、孙丽杰分别担任前述两个拓荆键科员工持股平台的执行事务合伙人,负责日常管理工作,该安排为过渡性安排。根据拓荆键科全体股东于 2020年 9月签署的拓荆键科《设立协议》、拓荆键科公司章程以及海宁展阳和海宁展博各自的《合伙协议》、拓荆键科《员工持股管理办法》的规定,刘静、孙丽杰二人作为拓荆键科员工持股平台普通合伙人,仅承担普通合伙人的管理职能,不享受合伙企业财产份额所对应的财产权,其登记持有的合伙份额实际是为拓荆键科未来延揽人才所设的预留权益。待拓荆键科员工中有适当人选时,刘静、孙丽杰将自海宁展阳、海宁展博退伙,由拓荆键科董事会认可的拓荆键科员工担任普通合伙人。

拓荆有限与海宁展阳、海宁展博共同投资设立拓荆键科事项,经过拓荆有限董事会审议通过;发行人以 1元/元注册资本的价格认缴拓荆键科注册资本,与其他股东相同;拓荆有限对拓荆键科出资合法合规、出资价格公允。

刘静、孙丽杰登记为海宁展阳、海宁展博的合伙人是接受发行人的工作指派,是一种过渡安排,并不实际享有所持海宁展阳、海宁展博财产份额的权益或其他经济利益。因此,刘静、孙丽杰不因此违反《公司法》第 148条规定的高级管理人员忠实义务。

目 录
发行人声明 ................................................................................................................... 1
本次发行概览 ............................................................................................................... 2
重大事项提示 ............................................................................................................... 3
一、重大风险因素 ................................................................................................ 3
二、本次发行相关主体作出的重要承诺 ............................................................ 7 三、利润分配政策 ................................................................................................ 7
四、财务报告审计截止日后经营情况及主要财务信息 .................................... 7 五、其他重大事项 .............................................................................................. 10
目 录.......................................................................................................................... 15
第一节 释义 ............................................................................................................... 20
一、常用词语 ...................................................................................................... 20
二、专业术语 ...................................................................................................... 22
第二节 概览 ............................................................................................................... 26
一、发行人及本次发行的中介机构基本情况 .................................................. 26 二、本次发行概况 .............................................................................................. 26
三、主要财务数据和财务指标 .......................................................................... 28
四、发行人的主营业务经营情况 ...................................................................... 29
五、发行人技术先进性、模式创新性、研发技术产业化情况以及未来发展战略 .................................................................................................................................. 32
六、发行人选择的具体上市标准 ...................................................................... 32
七、发行人符合科创板定位的说明 .................................................................. 33
八、公司治理特殊安排 ...................................................................................... 34
九、募集资金用途 .............................................................................................. 34
第三节 本次发行概况 ............................................................................................... 36
一、本次发行基本情况 ...................................................................................... 36
二、本次发行的有关当事人 .............................................................................. 37
三、发行人与中介机构关系 .............................................................................. 39
五、本次发行的战略配售安排 .......................................................................... 40
第四节 风险因素 ....................................................................................................... 45
一、技术风险 ...................................................................................................... 45
二、经营风险 ...................................................................................................... 45
三、财务风险 ...................................................................................................... 46
四、内控风险 ...................................................................................................... 49
五、知识产权争议风险 ...................................................................................... 49
六、其他风险 ...................................................................................................... 50
第五节 发行人基本情况 ........................................................................................... 51
一、发行人基本情况 .......................................................................................... 51
二、发行人设立情况 .......................................................................................... 51
三、发行人报告期内股本和股东变化情况 ...................................................... 57 四、发行人历史沿革中股权代持及股东无形资产出资的情况 ...................... 59 五、发行人报告期内重大资产重组情况 .......................................................... 60 六、发行人在其他证券市场的挂牌情况 .......................................................... 60 七、发行人股权结构及重要关联方股权结构 .................................................. 61 八、发行人控股子公司及对发行人有重大影响的参股公司的情况 .............. 61 九、持有发行人 5%以上股份或表决权的主要股东及实际控制人的基本情况 ...................................................................................................................................... 63
十、发行人股本情况 .......................................................................................... 74
十一、发行人董事、监事、高级管理人员及核心技术人员情况 .................. 79 十二、发行人与董事、监事、高级管理人员及核心技术人员签署协议及履行情况 .............................................................................................................................. 89
十三、发行人董事、监事、高级管理人员及核心技术人员变动情况 .......... 90 十四、董事、监事、高级管理人员及核心技术人员与发行人及其业务相关的对外投资情况 .............................................................................................................. 91
十五、发行人董事、监事、高级管理人员、核心技术人员及其近亲属持股情况 .................................................................................................................................. 93
十六、发行人董事、监事、高级管理人员及核心技术人员的薪酬情况 ...... 94 十七、发行人已执行的股权激励及其他制度安排和执行情况 ...................... 96 十八、发行人员工情况 ...................................................................................... 99
第六节 业务与技术 ................................................................................................. 102
一、发行人主营业务、主要产品的基本情况 ................................................ 102 二、发行人所处行业基本情况及其竞争状况 ................................................ 110 三、发行人的销售情况和主要客户 ................................................................ 138
四、发行人的采购情况和主要供应商 ............................................................ 148 五、发行人的核心技术情况 ............................................................................ 152
六、对主要业务有重大影响的主要固定资产、无形资产等资源要素情况 164 七、发行人的境外经营情况 ............................................................................ 168
第七节 公司治理与独立性 ..................................................................................... 169
一、股东大会、董事会、监事会、独立董事、董事会秘书制度的建立健全及运行情况 .................................................................................................................... 169
二、发行人特别表决权股份情况 .................................................................... 171
三、发行人协议控制架构情况 ........................................................................ 171
四、报告期内发行人公司治理存在的缺陷及改进情况 ................................ 172 五、发行人管理层对内部控制的自我评估意见 ............................................ 172 六、注册会计师对发行人内部控制的鉴证意见 ............................................ 172 七、发行人及其子公司最近三年违法违规情况 ............................................ 173 八、报告期内资金被控股股东、实际控制人及其控制企业占用或者为控股股东、实际控制人及其控制企业担保的情况 ............................................................ 173
九、发行人独立持续经营的能力情况 ............................................................ 173 十、发行人同业竞争情况 ................................................................................ 175
十一、关联方、关联关系及关联交易 ............................................................ 175 十二、报告期内关联交易制度的执行情况及独立董事意见 ........................ 192 十三、报告期内关联方的变化情况 ................................................................ 193
第八节 财务会计信息与管理层分析 ..................................................................... 194
一、报告期内财务报表 .................................................................................... 194
二、注册会计师审计意见 ................................................................................ 204
三、与财务会计信息相关的重大事项的判断标准 ........................................ 206 四、财务报表的编制基础 ................................................................................ 206
五、合并财务报表范围及变化情况 ................................................................ 207
六、重要会计政策、会计估计 ........................................................................ 207
七、公司的非经常性损益情况 ........................................................................ 216
八、公司纳税情况 ............................................................................................ 217
九、主要财务指标 ............................................................................................ 218
十、对公司未来盈利(经营)能力或财务状况可能产生重要影响的因素分析 .................................................................................................................................... 219
十一、经营成果分析 ........................................................................................ 222
十二、财务状况分析 ........................................................................................ 257
十三、偿债能力、流动性、周转能力及持续经营能力分析 ........................ 282 十四、报告期重大投资或资本性支出、重大资产业务重组、股权收购合并 .................................................................................................................................... 290
十五、资产负债表日后事项、或有事项、其他重要事项及重大担保、诉讼等事项 ............................................................................................................................ 291
十六、未来实现盈利的前瞻性分析 ................................................................ 291
第九节 募集资金运用与未来发展规划 ................................................................. 292
一、募集资金运用概况 .................................................................................... 292
二、募集资金运用情况 .................................................................................... 294
三、募集资金运用涉及新取得土地或房产的说明 ........................................ 301 四、公司未来发展规划 .................................................................................... 301
第十节 投资者保护 ................................................................................................. 304
一、信息披露和投资者关系的安排 ................................................................ 304
二、股利分配政策 ............................................................................................ 304
三、发行前滚存利润的分配安排 .................................................................... 308
四、股东投票机制建立情况 ............................................................................ 308
五、重要承诺 .................................................................................................... 309
第十一节 其他重要事项 ......................................................................................... 312
一、重大合同情况 ............................................................................................ 312
二、对外担保情况 ............................................................................................ 316
三、重大诉讼或仲裁事项 ................................................................................ 316
四、控股股东、实际控制人重大违法情况 .................................................... 317 第十二节 声明 ......................................................................................................... 318
一、发行人全体董事、监事、高级管理人员声明 ........................................ 318 二、发行人主要股东声明 ................................................................................ 321
三、保荐人(主承销商)声明 ........................................................................ 322
四、联席主承销商声明 .................................................................................... 324
五、发行人律师声明 ........................................................................................ 325
六、审计机构声明 ............................................................................................ 326
七、资产评估机构声明(一) ........................................................................ 327
七、资产评估机构声明(二) ........................................................................ 328
八、验资机构声明 ............................................................................................ 329
九、验资复核机构声明 .................................................................................... 330
第十三节 附件 ......................................................................................................... 331
附表 1:发行人拥有的房屋建筑 ..................................................................... 332
附表 2:发行人租赁的房产 ............................................................................. 332
附表 3:发行人已获注册商标清单 ................................................................. 334
附表 4:发行人已获授权专利清单 ................................................................. 337
附表 5:与投资者保护相关的承诺 ................................................................. 345



第一节 释义
在本招股说明书中,除非另有所指,下列词语具有如下特定含义:
一、常用词语

发行人、公司、 拓荆科技拓荆科技股份有限公司
拓荆有限沈阳拓荆科技有限公司,发行人前身
姜谦及其一致 行动人姜谦、吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、 张孝勇等 8名直接持有公司股份的自然人,以及芯鑫和、 芯鑫全、芯鑫龙、芯鑫成、芯鑫旺、芯鑫盛、芯鑫阳、沈 阳盛腾、沈阳盛旺、沈阳盛全、沈阳盛龙等 11个公司员工 持股平台,公司股东
应用材料美国应用材料公司(Applied Materials, Inc.;NASDAQ: AMAT、港交所:4336),是全球最大的半导体设备和服 务供应商
泛林半导体泛林半导体(Lam Research Corporation;NASDAQ: LRCX),台湾称“科林研发”,或译“拉姆研究”,是 全球领先的半导体设备和服务供应商
美国诺发Novellus Systems Inc.,美国知名半导体设备公司,原纳斯 达克上市公司(NASDAQ:NVLS),2012年 6月与泛林 半导体合并
先晶半导体荷兰先晶半导体公司(ASM International),是全球著名的 半导体设备和服务供应商,阿姆斯特丹泛欧交易所上市公 司(股票代码:ASM)
台积电台湾积体电路制造股份有限公司,国际领先的晶圆制造代 工大厂
英特尔Intel Corporation,美国知名半导体公司,是全球最大的个 人计算机零件和 CPU制造商
三星Samsung Electronics Corporation,韩国最大的电子工业企 业,旗下拥有 CPU、存储器、显示器等众多产业
KE株式会社日立国际电气( KOKUSAI ELECTRIC CORPORATION,简称“KE”),为日本半导体设备制造 商,从事内存、代工业务,提供批量处理系统和服务
中芯国际中芯国际集成电路制造有限公司、中芯国际集成电路制造 (上海)有限公司、中芯国际集成电路制造(深圳)有限 公司、中芯国际集成电路制造(北京)有限公司、中芯国 际集成电路新技术研发(上海)有限公司、中芯北方集成 电路制造(北京)有限公司及其同一控制下的关联方
华虹集团华虹半导体(无锡)有限公司、ICRD、上海华力集成电路 制造有限公司及上海华力微电子有限公司及其同一控制下 的关联方
长鑫存储长鑫存储技术有限公司,总部位于中国合肥的 DRAM IDM 厂商
长江存储长江存储科技有限责任公司、武汉新芯集成电路制造有限 公司及其同一控制下的关联方,总部位于中国武汉的闪存
  IDM厂商
燕东微电子北京燕东微电子股份有限公司,集成电路 IDM企业
ICRDShanghai IC R&D Center,上海集成电路研发中心有限公司
沈阳富创沈阳富创精密设备股份有限公司,及其前身沈阳富创精密 设备有限公司
北方华创北方华创科技集团股份有限公司,深圳证券交易所主板上 市公司,证券代码:002371.SZ
芯源微沈阳芯源微电子设备股份有限公司,科创板上市公司,证 券代码:688037.SH
盛美上海盛美半导体设备(上海)股份有限公司,科创板上市公司, 代码:688082.SH
华海清科华海清科股份有限公司,科创板在审企业,代码: A20569.SH
SEMISemiconductor Equipment and Materials International,国际 半导体产业协会
GartnerGartner Group 的缩写,全球权威的 IT 研究与顾问咨询公 司,成立于 1979年,总部设在美国康涅狄克州斯坦福。其 研究范围覆盖全部 IT产业,就 IT的研究、发展、评估、 应用、市场等领域,发布论证报告及市场调研报告
Strategy Analytics、SA一家全球著名的信息技术,通信行业和消费科技市场研究 机构
本次发行发行人首次公开发行股票并在上海证券交易所科创板上市
保荐人/保荐 机构/主承销 商招商证券股份有限公司
联席主承销商国开证券股份有限公司
天健会计师/ 发行人会计师天健会计师事务所(特殊普通合伙)
发行人律师北京市中伦律师事务所
证监会、中国 证监会中国证券监督管理委员会
上交所、交易 所上海证券交易所
基金业协会中国证券投资基金业协会
国务院中华人民共和国国务院
财政部中华人民共和国财政部
公司法《中华人民共和国公司法》
证券法《中华人民共和国证券法》
报告期、报告 期内、报告期 各期2018年、2019年、2020年和 2021年 1-9月
报告期末2021年 9月 30日
报告期各期末2018年 12月 31日、2019年 12月 31日、2020年 12月 31 日和 2021年 9月 30日
报告期各年末2018年 12月 31日、2019年 12月 31日、2020年 12月 31 日,不包括 2021年 9月 30日
报告期各年度2018年、2019年、2020年,不包括 2021年 1-9月
元、万元人民币元、人民币万元
二、专业术语

硅片Silicon Wafer,半导体级硅片,通常也叫晶圆,用于集成电路、分立器 件、传感器等半导体产品制造。按其直径尺寸主要分为 4英寸、6英寸、 8英寸、12英寸等规格
晶圆在氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属 化等特定工艺加工过程中的硅片
晶圆制造、芯 片制造将通过一系列特定的加工工艺,将半导体硅片加工制造成芯片的过程, 分为前道晶圆制造和后道封装测试
晶圆厂通过一系列特定的加工工艺,在硅片上加工制造半导体器件的生产厂 商,如:台积电、中芯国际等
IDMIntegrated Device Manufacture,垂直整合制造,指垂直整合制造商独自 完成集成电路设计、晶圆制造、封装测试的全产业链环节
工艺节点、制 程、关键尺寸泛指在集成电路生产工艺可达到的最小栅极宽度,尺寸越小,表明工艺 水平越高,意味着在同样面积的晶圆上,可以制造出更多的芯片,或者 同样晶体管规模的芯片会占用更小的空间,主要节点如 90nm、65nm、 40nm、28nm、14nm、7nm、5nm、3nm等
介电常数、k又称电容率或相对电容率,表征材料电性能的一个重要数据,低 k值代 表较低的极性
先进制程、先 进工艺当下时点芯片制造最小技术节点,目前国内通常指 28nm/14nm 以下工 艺制程
机台半导体行业对生产设备的统称
Demo机台验证机台。发行人销售活动中,部分客户要求预先验证发行人生产的机 台,待工艺验证通过后转为正式销售。Demo机台通常是新工艺、新机 型的首台设备
Demo订单针对 Demo机台签订的验证订单
研发产线半导体晶圆制造厂在量产产线技术基础上,针对更先进制程,通过对设 备、材料、工艺更高的技术指标设置,开展实验性晶圆制造的生产线
试产线半导体晶圆制造厂将经过研发产线验证的工艺应用于小批量试生产的 生产线
量产线半导体晶圆制造厂将经过试产线良率验证的工艺投入大规模商业化量 产的生产线
良率被测试芯片经过全部测试流程后,测试结果为良品的芯片数量占据全部 被测试电路数量的比例
泛半导体除集成电路外包含平板显示、LED、太阳能电池等的半导体行业
CMOS、 CMOS工艺Complementary Metal Oxide Semiconductor(互补金属氧化物半导体), 由 N-MOS 和 P-MOS 器件构成的一类芯片,其多晶硅栅极结构有助于 降低器件的阈值电压,从而在低电压下运行,是制造大规模集成电路芯 片使用的一种器件结构
FinFET、 FinFET工艺Fin Field-Effect Transistor,鳍式场效应晶体管,是一种新的互补式金氧 半导体晶体管,可以改善电路控制并减少漏电流,缩短晶体管的栅长
Fin晶体管鱼鳍式闸门,FinFET 工艺中,控制晶体管电路连通与断开的新 型 3D状态闸门
前道、后道芯片制造分为前道和后道工艺,前道主要是光刻、刻蚀、薄膜沉积、清 洗、离子注入、化学机械平坦等;后道主要有封装、测试等
封装在半导体制造的最后阶段,将一小块材料(如芯片)包裹在支撑外壳中, 以防止物理损坏和腐蚀,并允许芯片连接到电路板的工艺
先进封装处于前沿的封装形式和技术。目前,带有倒装芯片(FC)结构封装、晶 圆级封装(WLP)、系统级封装(SiP)、硅通孔技术(TSV)、2.5D 封装、3D封装等均被认为属于先进封装范畴
2.5D封装在两片晶圆间通过添加再分布层和导电层使之互通互联的封装。再分布 层是指在原本晶圆上沉积一层或多层电介质材料用于隔离,再令原本晶 圆上的触点裸露,再淀积新的金属层来实现重新布局布线
3D封装、TSV 先进封装采用 TSV(Through-siliconvia,硅通孔)技术的封装,又称 TSV先进封 装,目前被认为是半导体行业最先进的技术之一。TSV可以将芯片堆叠 起来使三维空间被利用起来。更重要的是,TSV实现了贯穿整个芯片厚 度的电气连接,更开辟了芯片上下表面之间的最短通路。芯片之间连接 的长度变短也意味着更低的功耗和更大的带宽。3D 存储芯片封装也会 在将来大量的用到 TSV封装技术
光刻利用光学-化学反应原理将电路图形传递到光掩模上,形成有效图形窗 口或功能图形的工艺技术
刻蚀、Etch用化学或物理方法有选择地在硅表面去除不需要的材料的过程,是与光 刻相联系的图形化处理的一种主要工艺,是半导体制造工艺的关键步骤
薄膜沉积半导体制造中任何在硅片衬底上沉积一层膜的工艺。这层膜可以是导 体、绝缘物质或者半导体材料。沉积膜可以是二氧化硅、氮化硅、多晶 硅以及金属。薄膜沉积设备在半导体的前段工序 FEOL(制作晶体管等 部件)和后段布线工序 BEOL(将在 FEOL制造的各部件与金属材料连接 布线以形成电路)均有多处应用。
CVDChemical Vapor Deposition,化学气相沉积法。是指化学气体或蒸汽在基 底表面反应合成涂层或纳米材料的方法,是半导体工业中应用最为广泛 的用来沉积多种材料的技术,包括大范围的绝缘材料,大多数金属材料 和金属合金材料
PECVDPlasma Enhanced Chemical Vapor Deposition,等离子体增强化学气相沉 积法
ALDAtomic Layer Deposition,原子层沉积
PE-ALDPlasma Enhanced Atomic Layer Deposition,等离子体增强原子层沉积
Thermal-ALDThermal Atomic Layer Deposition,热处理原子层沉积
SACVDSub-atmospheric Pressure Chemical Vapor Deposition,次大气压化学气相 沉积法
UV Cure紫外固化,紫外固化是辐射固化的一种,是利用紫外线 UV 产生辐射聚 合、辐射交联等作用,可以有效改善薄膜的物理性能和化学性能
LPCVDLow Pressure Chemical Vapor Deposition,低压力化学气相沉积
PVDPhysical Vapor Deposition,物理气相沉积
加热盘薄膜沉积设备的反应腔体中的一个关键部件,其主要作用是对工艺过程 中支撑晶圆,对晶圆进行加热,并可以提供射频功率的通道
喷淋头薄膜沉积设备的反应腔体中的一个关键部件,主要作用是在按设计提供 反应气体和其他气体,同时可以作为射频回路中的上极板
射频、射频系 统PECVD 设备的反应腔体中的一个关键部件,通过提供稳定的射频输出 源、快速的匹配系统及低损耗的射频回路来激发工艺气体成为高活性、 高能量的等离子体
EFEMEquipment front-end module,一种晶圆传输系统,可用于制造设备与晶 圆产线的晶圆传输模块
介质电介质,亦称绝缘体,是一种不导电的物质
通用介质薄 膜在集成电路制造过程中使用的 SiO 、SiN、SiON等介质薄膜 2
SiO 2硅与氧的化合物二氧化硅,可以作为一种电介质
TEOSTetraethyl orthosilicate,正硅酸乙酯,可作为 SiO 薄膜的反应源 2
SAF极高深宽比氧化硅薄膜工艺
BPSGBoro-phospho-silicate Glass,即掺杂了硼和磷的二氧化硅
SiN氮化硅,可以用作芯片制造中的阻挡层、钝化层
SiON、DARCSilicon Oxynitride,即氮氧化硅,主要用于光刻过程中的消光作用,提 高曝光效果
PSGPhospho-silicate Glass,即掺杂磷的二氧化硅,可用于金属布线层间的绝 缘层、回流介质层和表面钝化保护层
先进介质薄 膜、先进材料 工艺在集成电路制造过程中使用的 LokⅠ、LokⅡ、ADCⅠ、ADCⅡ、ACHM、 α-Si等介质薄膜
ACHM非晶碳硬掩膜,该薄膜能够提供良好的刻蚀选择性
LokⅠ掺碳氧化硅薄膜,是低介电常数薄膜,主要应用于集成电路芯片后段互 连层间介导层,通过超低介电常数,降低电路的漏电电流,降低导线之 间的电容效应,提高芯片性能
LokⅡ超低介电常数薄膜,为 LokⅠ的下一代新型介质薄膜,通过相对于 Lok Ⅰ更低的超低介电常数,降低电路的漏电电流,降低导线之间的电容效 应,提高芯片性能
ADCⅠNitrogen Doped Carbide,先进掺氮碳化硅薄膜,主要应用于扩散阻挡层 以及刻蚀阻挡层,由于较低的介电常数,可以降低了导线间的电容效率, 提升了芯片整体的传输性能
ADCⅡOxygen-doped Silicon Carbide,先进掺氧碳化硅薄膜,下一代低介电阻 挡层薄膜
α-SiAmorphous Silicon,非晶硅,主要应用在硬掩膜以实现小尺寸高深宽比 的图形传递
ONON叠层、 NO stack、No stack工艺氧化物-氮化物-氧化物-氮化物交替的膜堆叠件,沉积 SiO、SiN制作的 2 方法中的一个步骤,主要用于 3D NAND闪存芯片制造
Thick TEOS微米级 TEOS薄膜
SADPSelf-Aligned Double Patterning,自对准双重成像技术。可用于 FinFET 工艺中 Fin的制造
浅沟槽隔离、 STIShallow Trench Isolation,通常用于 0.25um以下工艺,通过图形化工艺 在晶体管结构之间形成槽填充绝缘层,以达到晶圆表面器件之间隔离
BSI、BSI工 艺Back Side Illumination,背照式图像传感器,一种 CMOS图像传感器制 造工艺
前段、FEOL前段(FEOL)指对芯片有源部分的制造工序,即位于芯片硅衬底上的 晶体管
后段、BEOL后段(BEOL)指在晶体管上部建立若干层的导电金属线,不同层金属 线之间由柱状金属相连的制造
层间介质、 ILDInter Layer Dielectric,是在晶体管与第一层金属之间形成的介质材料层
金属绝缘层、 IMDInter Metal Dielectric,是金属导线之间的介电材料层
钝化层晶圆表面起到保护功能的一层薄膜
硬掩模先进制程晶圆制造光刻工艺的中间层,利用光刻胶将图形转移到硬掩 模,再通过硬掩模将图形转移到待刻蚀的底层薄膜材料上
刻蚀阻挡层在多层介质膜刻蚀时,利用刻蚀速率的差异进而对刻蚀具有选择性,起 到了阻挡刻蚀继续进行以及刻蚀工艺终止的作用
金属前绝缘 层、PMDPre-Metal Dielectric,是沉积金属前的介质层,其界于栅极与第一层金属 之间,起到绝缘介质的作用
NFDARC、 SiOCNitrogen Free Dielectric anti-reflective coating,即无氮元素的介电抗反射 涂层,在光刻机曝光时起到抗反射的作用,从而实现理想图形的刻蚀
本招股说明书除特别说明外,所有数值保留 2位或 4位小数,若出现总数与各分项数值之和尾数不符的情况,均为四舍五入原因造成。

第二节 概览
本概览仅针对招股说明书全文做扼要提示。投资者作出投资决策前,应认真阅读招股说明书全文。

一、发行人及本次发行的中介机构基本情况
(一)发行人基本情况

发行人名称拓荆科技股份有限公司成立日期2010年 4月 28日
注册资本9,485.8997万元法定代表人吕光泉
注册地址辽宁省沈阳市浑南区水家 900号主要生产 经营地址辽宁省沈阳市浑南 区水家 900号
控股股东实际控制人
行业分类专用设备制造业(C35)在其他交易场所 (申请)挂牌或 上市的情况
(二)本次发行的有关中介机构

保荐人(主承销商)招商证券股份有限公司联席主承销商国开证券股份有限公司
发行人律师北京市中伦律师事务所审计机构天健会计师事务所(特殊 普通合伙)
评估机构北京中企华资产评估有限 责任公司、沃克森(北京) 国际资产评估有限公司  
二、本次发行概况
(一)本次发行的基本情况

股票种类人民币普通股(A股)  
每股面值人民币 1.00元  
发行股数3,161.9800万股  
其中:发行新股数量3,161.9800万股占发行后总股本比例25%
股东公开发售股份数量占发行后总股本比例
发行后总股本12,647.8797万股  
每股发行价格71.88元  
定价方式由发行人与主承销商自行协商确定,包括但不限于通过向询价对 象询价并结合当时市场情况确定发行价格  
发行市盈率不适用  
发行前每股净资产12.45 元(按 2021 年 9月 30日经审计 的归属于母公司所 有者权益除以本次 发行前总股本计算)发行前每股收益-0.60元(按 2020 年度经审计的扣 除非经常性损益 前后孰低的归属 于母公司股东的 净利润除以本次 发行前总股本计 算)
发行后每股净资产26.16 元(按 2021 年 9月 30日经审计 的归属于母公司所 有者权益加上本次 发行募集资金净额 之和除以本次发行 后总股本计算)发行后每股收益-0.45元(按 2020 年度经审计的扣 除非经常性损益 前后孰低的归属 于母公司股东的 净利润除以本次 发行后总股本计 算)
发行市净率2.75倍(按每股发行价格除以本次发行后每股净资产计算)  
发行方式本次发行采用向战略投资者定向配售、网下向符合条件的投资者 询价配售和网上向持有上海市场非限售 A 股股份和非限售存托 凭证市值的社会公众投资者定价发行相结合的方式进行  
发行对象符合资格的战略投资者、询价对象以及已开立上海证券交易所股 票账户并开通科创板交易的境内自然人、法人等科创板市场投资 者,但法律、法规及上海证券交易所业务规则等禁止参与者除外  
承销方式余额包销  
拟公开发售股份股东 名称  
发行费用的分摊原则  
募集资金总额227,283.12万元  
募集资金净额212,759.73万元  
募集资金投资项目高端半导体设备扩产项目、先进半导体设备技术研发与改进项 目、ALD设备研发和产业化项目、补充流动资金  
发行费用概算本次发行费用明细如下: (1)保荐及承销费用:保荐费为 500.00万元,承销费为 11,636.54 万元; (2)审计及验资费用:1,188.68万元; (3)律师费用:613.21万元; (4)用于本次发行的信息披露费用:518.87万元; (5)发行手续费用:66.10万元。 (注:1、前次披露的招股意向书中,发行手续费用为 13.86万元, 差异原因系本次发行的 CA证书费用减免、摇号公证场所变化导 致摇号公证费调整以及印花税的确定。除前述调整外,发行费用 不存在其他调整情况;本次发行各项费用均为不包含增值税的金 额,如发行费用合计数与募集资金总额和募集资金净额之差额存 在差异,为四舍五入所致。)  
(未完)
各版头条