[年报]微导纳米(688147):江苏微导纳米科技股份有限公司2022年年度报告摘要

时间:2023年04月24日 21:27:31 中财网
原标题:微导纳米:江苏微导纳米科技股份有限公司2022年年度报告摘要

公司代码:688147 公司简称:微导纳米 江苏微导纳米科技股份有限公司
2022年年度报告摘要








第一节 重要提示
1 本年度报告摘要来自年度报告全文,为全面了解本公司的经营成果、财务状况及未来发展规划,投资者应当到 www.sse.com.cn网站仔细阅读年度报告全文。

2 重大风险提示
公司已在年度报告全文中详细描述可能存在的相关风险,敬请查阅年度报告全文第三节管理层讨论与分析“四、风险因素”部分内容。

3 本公司董事会、监事会及董事、监事、高级管理人员保证年度报告内容的真实性、准确性、完整性,不存在虚假记载、误导性陈述或重大遗漏,并承担个别和连带的法律责任。

4 公司全体董事出席董事会会议。

5 天职国际会计师事务所(特殊普通合伙)为本公司出具了标准无保留意见的审计报告。

6 公司上市时未盈利且尚未实现盈利
□是 √否
7 董事会决议通过的本报告期利润分配预案或公积金转增股本预案
考虑到公司未来产品研发、市场拓展及订单实施等运营资金需求量较大,为保障公司长期稳定发展,更好地维护全体股东的长远利益,公司 2022年度不进行利润分配,也不进行资本公积转增股本。以上利润分配预案已经公司第二届董事会第五次会议及第二届监事会第五次会议审议通过,尚需公司 2022年年度股东大会审议。

8 是否存在公司治理特殊安排等重要事项
□适用 √不适用
第二节 公司基本情况
1 公司简介
公司股票简况
√适用 □不适用

公司股票简况    
股票种类股票上市交易所及板块股票简称股票代码变更前股票简称
人民币普通股 (A股)上海证券交易所科创板微导纳米688147不适用

公司存托凭证简况
□适用 √不适用

联系人和联系方式

联系人和联系方式董事会秘书(信息披露境内代表)证券事务代表
姓名龙文朱敏晓
办公地址无锡市新吴区新硕路9-6号厂房无锡市新吴区新硕路9-6号 厂房
电话0510-819759860510-81975986
电子信箱[email protected][email protected]

2 报告期公司主要业务简介
(一) 主要业务、主要产品或服务情况
微导纳米是一家面向全球的半导体、泛半导体高端微纳装备制造商。公司形成了以原子层沉积(ALD)技术为核心,CVD等多种真空薄膜技术梯次发展的产品体系,专注于先进微米级、纳米级薄膜设备的研发、生产与销售,向下游客户提供先进薄膜设备、配套产品及服务。在半导体领域内,公司已与国内多家厂商建立了深度的合作关系,深化推动 ALD产业化应用领域和业务迅速发展,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等诸多细分应用领域,多项设备的工艺质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。同时,公司藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了多种 CVD真空薄膜技术产品。在光伏领域内,公司作为率先将 ALD技术规模化应用于国内光伏电池生产的企业,目前已成为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系。根据公开的市场数据统计,公司 ALD产品已连续多年在营收规模、订单总量和市场占有率方面位居国内同类企业第一。

公司已开发和正在开发的适用于半导体、光伏等应用领域的多款薄膜沉积设备,涵盖 ALD、CVD系列产品,并提供配套产品及服务,具体如下:
1、半导体领域主要产品

产品图示产品说明
 适用于高介电常数(High-k)栅氧层、 MIM电容器绝缘层、TSV介质层金属、 金属氮化物等薄膜工艺需求。产品凭借原 子级别的精确控制、高覆盖率薄膜沉积和 极高的工艺均匀性等优势,可为逻辑芯 片、存储芯片、微纳制造以及先进封装提 供介质层等关键工艺解决方案。
 采用创新的批量型(mini-batch)腔体设 计,可一次处理 25片 12英寸晶圆,适用 于成膜镀率低,厚度要求高,以及产能要 求高的关键工艺及应用。产品利用特有的 流场设计,具有成膜速度快,占地面积小, 产能高、使用成本低等优势,为存储芯片 以及 Micro-OLED显示器、MEMS等提供 定制化量产的解决方案。
 采用原创设计开发的自动化平台与模块 化 ALD反应腔相结合,可以按需配置 PEALD或 Thermal ALD等工艺需求。 iTomic Lite系列设备具有强大的兼容性, 其硬件配置在保持量产机型强大功能的 前提下,可满足各类晶圆尺寸(6、8英
 寸)量产工艺需求,同时也可满足客户高 端研发和新工艺试量产需求。iTomic Lite 系列可广泛应用于 MEMS、光电器件等 泛半导体器件领域。
 可根据不同温度要求制备氧化硅、氮化 硅、氮氧化硅等薄膜制备工艺及应用,通 过精准快速控制成膜速度、超低反应温 度、材料配比等技术,完美实现材料厚度 均匀性、膜应力,热过程,以及阶梯覆盖 率等极具挑战的工艺需求,技术达到国际 先进水平。iTomic PE系列设备可为逻辑 芯片、存储芯片、先进封装等提供客制化 掩膜层、介质层、图案化等关键工艺解决 方案。
 Trancendor平台系列是微导纳米独立研 发的、适用于高产能半导体制程设备的晶 圆传输系统。该系统可根据客户工艺需 要,灵活挂载一至多个工艺腔体(每个工 艺腔体可配备一至多个工作站)在真空环 境下进行快速高效晶圆传输。
 iTronix系列 CVD系统是公司根据下游客 户需求,独立开发或合作开发的多款 CVD产品系列,应用于 CVD技术不同镀 膜领域,适用于制备氧化硅、氮化硅、氮 氧化硅、非晶碳、非晶硅、掺杂非晶硅、 锗硅等不同种类薄膜,可应用于逻辑、存 储、先进封装、显示器件以及化合物半导 体等领域芯片制造。
注:1、随着公司产品种类的不断丰富,公司持续完善产品型号命名规则。报告期内,公司结合设备平台类型重新命名产品型号,下同;2、产业化应用是指已实现销售,产业化验证是指已签署合同并正在履行,开发实现是指已形成研发样机,虽未与客户签署销售合同但已发往客户处进行试样验证,下同。


iTomic系列原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统及新型存储芯片的电容介质层、高 K栅介质覆盖层、掺杂介质层、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等多个应用领域。该系列部分产品已取得客户验收,实现产业化应用,并取得重复订单。

iTomic MW系列批量式原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统和新型存储芯片电容介质层、掺杂介质层、新型显示器、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等应用领域。该系列部分产品已经取得客户订单,进入产业化验证阶段。

iTomic PE系列等离子体增强原子层沉积镀膜系统适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于 MEMS、逻辑、存储、CMOS芯片的多重图案化和间隔层。该系列部分产品已发往客户处进行试样验证。

适用于沉积氧化物、氮化物等薄膜材料。产品可用于芯片制造钝化层、扩散阻挡层、介电层、硬掩膜层与高级图案化层、电容覆盖层等应用领域。该系列产品将以硬掩模工艺为介入点,依托产业化应用中心强大的前瞻工艺开发能力及国际化的研发团队,以及公司所具有的半导体设备设计制造能力,解决关键工艺卡脖子问题,通过差异化策略开发 CVD领域具有市场前景和竞争力的关键设备。截至目前,该系列部分产品已经处于与客户试样验证阶段。


2、光伏领域主要产品

产品图示产品说明
 采用先进反应腔体设计和薄膜沉积技术,可为 PERC、TOPCon、XBC、钙钛矿/异质结叠层电池 等新兴太阳能电池表面钝化提供高质量超薄钝化 膜的制备,确保电池光电转换效率的进一步提升。 基于成功量产机型的设计原理,夸父系列 ALD薄 膜钝化系统是公司原创设计的第五代产品,代表 了光伏行业国产创新设备的先进技术,兼容 M10-M12硅片尺寸,在提供超高产能的同时,最 大程度降低设备的运营成本,为客户提供可靠的 量产解决方案,引领光伏产业化高效电池智能化 制造。
 祝融系列(ZR5000×1)管式 PECVD系统突破性 解决传统管式 PECVD的产能瓶颈,可与公司 ALD钝化技术无缝对接,确保 PERC、TOPCon、 IBC、TBC等高效电池生产。 祝融系列(ZR5000×2)管式 PEALD系统利用公 司原创设计的工业级等离子体增强原子层沉积 (PEALD)技术,实现了超高产能的批量型 PEALD 镀膜,是 ALD领域量产化技术又一次突破,专为 接触钝化技术(TOPCon、HPBC、POLO、SHJ和 TBC)量身定制,为后 PERC高效电池技术提供可 靠的量产解决方案。 祝融系列(ZR5000×3)管式 PEALD镀膜平台延 伸祝融系列概念,一体式设计实现氮化硅正膜、 氧化铝/背膜、氧化硅/多晶硅钝化膜一站式完成, 可实现单道产能翻倍,节省占地面积。同时兼具 先进的制造执行管理系统(MES)和自动导引车 (AGV)对接功能。在提供超高产能的同时,最 大程度降低设备的运营成本,为后 PERC高效电 池技术提供理想可靠的量产解决方案。
 采用原创设计的高温热场控制技术,实现了兼容 磷、硼两种扩散工艺,拥有独创的冷却技术可提 升设备与零件的使用寿命,同时缩短了工艺时间, 为 PERC+和 TOPCon等下一代量产高效电池的提 效降本,提供了全套的主机及先进的工艺解决方 案。此外,羲和系统也提供退火,氧化和低压化 学气相沉(LPCVD)功能。
 专用于钙钛矿/异质结叠层电池等新兴太阳能电 池的非晶/微晶、掺杂层、阻水阻氧、致密保护层 等薄膜材料。以工业产线模块化为核心设计思路, 为客户提供高薄膜质量、高产能、高可靠性、低 制备成本、低投资成本的先进设备解决方案。

公司设备在光伏产品生产中的具体镀膜工艺、应用领域和产业化阶段情况如下:
设备类型镀膜工艺目前应用领域
TALDAl O等工艺 2 3PERC电池背面钝化层、 TOPCon电池正面钝化层
  XBC、钙钛矿/异质结叠层 电池等高效晶硅太阳能电 池钝化
PECVDSiN等工艺 XPERC电池减反层
PECVDSiN等工艺 XTOPCon电池背面减反层
PEALD和 PECVDAl O、SiN等工 2 3 X 艺PERC电池背面钝化层、减 反层
PEALD和 PECVDAl O、SiN等工 2 3 X 艺TOPCon电池正面钝化层、 减反层
PEALD和 PECVD隧穿氧化硅、掺杂 多晶硅等工艺TOPCon电池隧穿层、掺杂 多晶硅层
炉管设备非晶硅晶化及掺 杂、扩散TOPCon电池扩散、退火
ALD/PEA LD/PECV D非晶/微晶硅基参 杂薄膜、阻水阻 气保护层等钙钛矿/异质结叠层电池
3、其他新兴应用产品

产品图示产品说明
 以国产化零部件为主导,可满足高端 研发和新工艺试量产需求,用于高端 装备的微纳制造镀膜。
 使用 ALD卷对卷高阻隔膜技术,可 保障高产能,满足稳定量产需求,同 时具有薄膜厚度精确可控、保形性较 好、成分可控等优点,成功实现了在 宽幅柔性基材上制备高阻隔膜及其 他功能薄膜。该系统可为柔性电子材 料提供高质量、高经济效益的封装薄 膜。
除上述专用设备外,公司还为客户提供配套产品及服务,主要包括设备改造、备品备件及其他两类业务。

①设备改造。公司的设备采用模块化设计,公司可以针对市场需求和技术发展趋势,为已销售的在役设备提供改造服务,以帮助下游客户用较少的成本达到降本增效的效果,提高设备服役年限。公司目前的设备改造集中在光伏领域设备,设备改造的内容主要包括尺寸改造、工艺改造等。

②备品备件及其他。公司设备在运行过程中,部分零部件会出现正常损耗,因此下游客户需向公司采购易损耗的零部件。备品备件主要为载具(一体舟)、去离子水等产品。公司还针对设备提供载具清洗、耗材更换等后续服务。


(二) 主要经营模式
1、盈利模式
公司通过向客户销售专用设备,提供设备改造、备品备件等配套产品及服务,获得相应的收入,扣除成本、费用等相关支出,形成公司的盈利。

2、采购模式
公司主要根据研发、生产、售后服务的需求计划和安全库存的需要等制定和执行采购计划,在合理控制库存的同时,保证物料供应的及时性。为保证公司产品的质量和性能,公司制定了严格的供应商选择和评估制度,按照《供应链开发导入管理流程》对供应链的导入过程进行管控,严格考察供应商的资质实力、质量水平、售后服务等方面,经供应商调查、试制、首批验证评价后,登记合格供应商名录,并持续开展绩效评价和推动持续改进,保障和提升供应能力。公司采用从品牌厂商直接采购或代理厂商采购方式,公司核心部件供应厂商一般为国内外知名企业,核心部件的供应较为稳定。

3、生产模式
公司采用定制化设计与生产。根据客户采购意向和需求进行产品定制化设计与生产,以满足客户的差异化需求。在获取销售合同或采购意向后,由项目部负责整个项目过程的进度管控与相关节点事宜协调。根据客户要求提供生产资料,并根据零件特性及投料需求,组织采购。生产部根据生产计划、零件到货情况和技术要求制定部件的装配计划,对装配过程进行外观、功能、关键工序、定位连接等进行自检。完成装配作业后进行工艺调试,根据检验标准的要求进行检验后组织打包发货。公司在设备生产中存在外协加工的情况,公司外协加工包括外购加工件和委外加工两种情形。外购加工件是供应商按照公司的图纸和技术要求、来料检验标准等向公司提供非标准化的定制采购件。委外加工是由供应商对公司提供的在产品进行机加工或进行表面处理。

4、销售模式
公司的销售模式为直销,主要通过直接接洽和投标的方式获取客户。对于已经形成批量销售的成熟机型,在与客户接洽后可以直接进入商务谈判或者招投标环节;对于部分首次购买客户,即使是成熟机型,在给该客户第一次供货前一般需要提供样机进行试用,试用满足客户要求后,客户评测,再根据客户评测结果对新研发机型进行改进升级,待样机达到客户的技术指标后,再进入洽谈及合同签订环节。设备运至客户指定的位置后,公司负责组织安装调试、配合客户生产工作,并提供技术指导、售后跟踪和维修服务。

5、研发模式
公司根据研发阶段和内容将研发人员分为机械设计、电气和软件开发、工艺开发三类。机械设计类主要负责进行机械研发,主要职责是对新机型的研究与开发、对老机型的更新和改进、对车间装配和设备调试的技术支持,以及对工艺研发中涉及到机械硬件的技术支持;电气和软件类主要是负责电气及设备运行软件开发以及设备运行的电气和程序维护,对工艺开发中涉及到的技术提供支持;工艺开发类主要负责开发新产品所需要的各类镀膜工艺以及设备在客户端量产导入前的各类应用,同时为客户开发更先进的量产工艺技术。公司的产品研发及产业化流程主要包括需求提出、立项和规划阶段、开发实现阶段、产业验证阶段、产业化应用阶段。

(三) 所处行业情况
1. 行业的发展阶段、基本特点、主要技术门槛
根据《国民经济行业分类与代码》(GBT/4754-2017),公司所处行业属于 C3562半导体器件专用设备制造(指生产集成电路、二极管(含发光二极管)、三极管、太阳能电池片的设备的制造),属于高端装备在半导体、光伏等新一代信息技术领域、新能源的应用。根据公司产品的应用领域的不同,下游行业发展阶段、特点以及技术门槛情况如下:
1、半导体薄膜沉积设备
(1)薄膜沉积设备是半导体前道工艺设备的核心设备之一,受下游晶圆产线扩产、先进制程和新兴工艺的驱动,行业拥有较大的市场空间和良好的成长性。

根据 SEMI数据统计,晶圆厂的投资构成中,刻蚀设备、光刻设备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备,其中薄膜沉积设备制备的各类薄膜发挥着导电、绝缘、阻挡污染物等重要作用,直接影响半导体器件性能,相关设备的投资额占晶圆制造设备投资总额约21%。

随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。在 90nmCMOS工艺大约需要 40道薄膜沉积工序。在 3nmFinFET工艺产线,则超过 100道薄膜沉积工序,涉及的薄膜材料由 6种增加到近 20种,对于薄膜颗粒的要求也由微米级提高到纳米级。只有薄膜沉积设备的不断创新和进步才能支撑集成电路制造工艺向更小制程发展。

伴随着晶圆厂投资力度及新建产能进程加快,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。薄膜沉积设备行业一方面长期受益于全球半导体需求增加与产线产能的扩充,另一方面受益于技术演进带来的增长机遇,包括制程进步、多重曝光与 3DNAND存储技术,全球半导体薄膜沉积设备市场规模将因此高速增长。Maximize Market Research预计全球半导体薄膜沉积设备市场规模 2025年将扩大至 340亿美元,保持年复合 13.3%的增长速度。同时,根据 SEMI预测,2020年至 2025年,全球半导体薄膜沉积设备中 ALD设备的复合增长率预测为 26.3%,预计高于整体半导体薄膜沉积设备市场的增长率。

(2)半导体薄膜沉积行业具有较高的技术壁垒、市场壁垒和客户认证壁垒,国际市场目前主要被传统设备厂商垄断,国产替代趋势明显。

由于芯片由不同模块工艺集成,薄膜沉积是大多数模块工艺的关键步骤,薄膜本身在不同模块/器件中的性能要求繁多且差异化明显。薄膜沉积工艺需要持续发展,新材料出现或器件结构的改变要求不断研发新的工艺或设备。同时,薄膜性能不断提升的需求要求工艺及相关专用设备具备更好集成度;更苛刻的热预算要求提升了温度条件更严格的薄膜生长工艺需求;沉积过程还要考虑沉积速率、工艺稳定性、环境污染、设备可靠性等各项严苛的指标。因此,薄膜沉积技术是一项涉及多个跨学科领域的高端技术,该技术在真空等特殊环境下实现化学反应,制备的薄膜材料为纳米级,并且工艺性能要求极高,从而导致薄膜沉积设备在晶圆制造生产环节诸多技术中,具有较高的技术壁垒和技术难度。

由于薄膜沉积设备及工艺技术行业壁垒较高,传统的国际大型厂商成立较早,在薄膜种类和相关工艺方面不断突破,具有先发优势,因此行业集中度较高。目前全球薄膜沉积设备市场基本上由应用材料、LAM、TEL、ASM等传统设备厂商垄断。近年来随着国家对半导体产业的持续投入及部分民营企业的兴起,我国半导体制造体系和产业生态得以逐步建立和完善;但从国内主流晶圆厂累计招标情况统计,半导体薄膜沉积设备的国产化率仍处于较低水平,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较大发展空间。

为推动我国半导体产业的发展,国家先后设立国家重大专项和国家集成电路基金。伴随着国家鼓励类产业政策和产业投资基金不断的落实与实施,本土半导体及其设备制造业迎来了前所未有的发展契机,而薄膜沉积设备作为半导体制造的核心设备,将会迎来巨大的进口替代市场空间。

2、光伏薄膜沉积设备
(1)薄膜沉积设备是太阳能电池片制造环节的关键设备之一,受益于光伏行业装机规模持续扩大,市场前景广阔。

按照光伏电池产业链,可将光伏设备分为硅片设备、电池片设备、组件设备。光伏薄膜沉积设备主要应用于太阳能晶硅电池片的制造环节,根据电池不同工艺和所需的薄膜性质,所采用的薄膜沉积设备会有所不同。薄膜沉积设备制备的薄膜直接影响电池片的光电转换效率,随着电池结构的发展,薄膜沉积设备的重要地位愈发凸显,且在电池产线设备投资中的占比不断提高。

随着全球《巴黎协定》的通过以及中国碳达峰和碳中和目标的提出,全球能源转型驱动光伏装机规模持续扩大。国内经过过去十多年快速发展,光伏技术不断突破,发电成本快速下降,装机规模迅猛增长,根据中国光伏行业协会(CPIA)发布的《2022年-2023年中国光伏产业发展路线图》,2022年全国新增光伏并网装机容量 87.41GW。累计光伏并网装机容量达到 392.6GW,新增和累计装机容量均为全球第一。2022年,全国电池片产量约为 318GW,同比增长 60.7%。装机容量和电池片产量的不断扩大带动了光伏设备尤其是薄膜沉积设备需求的增加。

(2)光伏电池片技术迭代带来设备新需求,具备相应技术储备和研发实力的公司具有更强的市场竞争力。

太阳能晶硅电池片的制造环节的规模优势明显、技术迭代较快,在实现规模经济、降本增效的驱力下,电池片厂商积极扩产并推动新技术产业应用,其中薄膜沉积设备作为光伏电池的核心设备与新型工艺技术开发紧密结合并持续迭代发展。光伏领域薄膜沉积设备制造厂商需要不断结合市场需求和前后端设备技术发展趋势,针对下游客户产线的技术迭代方向,持续推出具有竞争力的新型号、乃至新一代产品,协助客户实现降本增效目的才能持续保持市场竞争力。

目前,由于 PERC电池片的量产平均转换效率已逐渐接近理论极限,TOPCon、HJT、XBC等新型电池技术路线正逐步成为电池技术的主要发展方向。电池厂商新建量产产线开始主要聚焦于 TOPCon、HJT两种技术路线。根据 CPIA发布的《2022年-2023年中国光伏产业发展路线图》2022年,新投产的量产产线仍以 PERC电池产线为主。但下半年部分 N型电池片产能陆续释放,PERC电池片市场占比下降至 88%,N型电池片占比合计达到约 9.1%,其中 N型 TOPCon电池片市场占比约 8.3%,异质结电池片市场占比约 0.6%,XBC电池片市场占比约 0.2%,未来随着生产成本的降低及良率的提升,N型电池将会成为电池技术的主要发展方向之一。


2. 公司所处的行业地位分析及其变化情况
在半导体领域内,公司已与国内多家头部半导体厂商建立了深度的合作关系,ALD产业化应用迅速发展的同时,公司藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了以 CVD为代表的多种真空薄膜技术产品,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等细分应用领域,多项设备的镀膜质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。

公司已成功研制的 High-k原子层沉积所应用的高介电常数(High-k)栅氧薄膜工艺是国内突破28nm制程中难度最大的工艺之一。公司是国内首家将其成功量产合并应用于 28nm节点集成电路制造前道生产线的国产设备公司,并已获得客户重复订单认可,填补了我国在该项半导体设备上的空白。除上述在半导体领域已实现产业化应用的功能外,公司 2022年推出的应用于逻辑芯片、传统和新型存储芯片、CMOS芯片、MEMS等领域的多款 ALD设备也取得了客户订单,部分设备获得多个重复订单。公司新开发的 CVD设备的部分产品已经发往客户处进行试样验证。

在光伏领域内,公司作为率先将 ALD技术规模化应用于国内光伏电池生产的企业,已成为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系。公司为客户提供具备优良的产品性能的设备,在保障光电转换效率的同时,可有效帮助电池片厂商大幅降低设备投资额与生产成本,在 PERC、TOPCon、XBC、异质结/钙钛矿叠层电池等高效电池技术发展过程中起着重要作用。相关产品已在新型电池产线上得到下游客户广泛认可,拥有较高的市场占有率,客户群体已基本覆盖光伏领域内包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。

根据公开的市场数据统计,公司 ALD产品已连续多年在营收规模、订单总量和市场占有率方面位居国内同类企业第一。

3. 报告期内新技术、新产业、新业态、新模式的发展情况和未来发展趋势 1、半导体薄膜沉积设备技术发展情况和趋势
半导体薄膜沉积设备技术的演进路径与半导体器件的大小和结构息息相关。在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路线宽不断缩小,影响集成电路制造工序愈为复杂,对于薄膜颗粒的要求也由微米级提高到纳米级。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。

(1)半导体领域中 PVD、CVD、ALD三类薄膜沉积技术相互补充、不断迭代。

常见的半导体领域中薄膜类型主要分为半导体、介质、金属/金属化合物薄膜三大类。半导体领域薄膜的沉积材料与应用场景复杂多样,伴随制程的演变材料需求增加,推动薄膜沉积工艺和设备的进步。薄膜制备依据的基础原理不同,因此薄膜沉积设备的工艺存在不同的技术路线。

物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)三类薄膜沉积技术均为目前半导体领域的主流技术路线,但各技术适用的环节有所不同。在芯片的制造过程中,涉及十余种不同材料的薄膜、数十种工艺类型、上百道工艺环节,需要不同性能和材料的薄膜,因此 PVD、CVD、ALD三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互补充。例如,仅从通用薄膜厚度适用性的角度来评估,PVD一般用于较厚的金属及导电类的平面膜层制备;CVD一般适用中等以上厚度的膜层制备、应用范围广;ALD可以一个原子的厚度(约 0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的应用。同时,三种技术本身也随着下游应用需求的提高持续发展。

ALD技术相较于 CVD技术和 PVD技术,产业化应用起步时间较晚,在 45nm以上等成熟制程、2D平面结构器件中应用较少,2007年 Intel公司才首次在 45nm技术节点上开始应用 ALD技术进行薄膜制备,主要由于在先进制程节点下,原来用于成熟制程的溅射 PVD、PECVD等工艺 无法满足部分工序要求,因此需要引入 ALD工艺。ALD技术凭借其原子层级沉积特点,具有薄 膜厚度精确度高、均匀性好、台阶覆盖率极高、沟槽填充性能极佳等优势,特别适合在对薄膜质 量和台阶覆盖率有较高要求的领域应用,在 45nm以下节点以及 3D结构等先进半导体薄膜沉积环 节具有较好的应用前景。半导体制程演进与薄膜沉积技术对应情况如下: (2)ALD技术在 28nm以下逻辑芯片先进制程、DRAM、3DNAND、新型存储器等重要领域的技术优势明显,应用迅速扩大。

①28nm制程以下的 High-k栅介质层沉积需要应用 ALD技术
晶圆制造 65nm制程及以上中,集成电路主要通过沉积 SiO薄膜形成栅极介质,但进入 45nm2
制程特别是 28nm之后,传统的 SiO2栅介质层薄膜材料厚度需缩小至 1纳米以下,将产生明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加、器件性能急剧恶化,此时用高 K材料替代 SiO可优化器件性能。常见的高 K材料包括 TiO、HfO、Al O、ZrO、Ta O等。其中 HfO2 2 2 2 3 2 2 5 2
的介电常数为 25,具有适合的禁带宽度(5.8eV),因此 HfO作为栅介质层得到了业内广泛的应2
用。高 K材料的沉积要求原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,需要应用 ALD技术。

②先进制程多重曝光技术的需要应用 ALD技术
随着芯片集成度不断提升,晶体管结构也在接近物理尺寸的极限。自 2011年开始,代工厂开始采用效率更高、功耗更低的 22nm/16nm/14nmFinFET晶体管结构,但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。在 EUV技术普及之前,目前主流的ArFDUV光刻机(波长 193nm)通过浸润、相移掩模、多重曝光等方法,满足 28nm以下 7nm以上的制程工艺。多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡层。在此过程中,由于多重曝光增加了多道薄膜沉积工序,需要薄膜技术具有接近 100%的保型性、薄膜厚度控制精准,因此 ALD技术被迅速推广应用。

多重曝光技术 ③存储芯片 DRAM、3DNAND、新型存储器结构对 ALD技术的需求越来越大 随着 DRAM存储器容量不断增大,其内部的电容器数量随之剧增,而单个电容器的尺寸将 进一步减小,电容器内部沟槽的深宽比也越来越大。深沟槽将需要更高的薄膜表面积,例如在 45nm 制程中,沟槽结构深宽比达到 100:1,所沉积薄膜的有效面积大约是器件本身表面积的 23倍。 这些给沉积技术提出了更高的要求。同样地,得益于薄膜以单原子层为量级生长所带来的大面积 均匀性、高台阶覆盖率和对膜厚的精确控制,ALD技术能够很好地满足这些要求。 存储芯片高深宽比结构示意图
3D NAND结构,内部层数不断增高,元器件逐步呈现高密度、高深宽比结构,PVD和 CVD难以达到沉积效果,ALD则可以实现高深宽比特征下的均匀镀膜。以最具挑战性的向字线中填充导电钨为例:3D NAND交替堆叠氧化物和氮化物介电层,目前层数多达 96层。密集排列且具有高深宽比的孔渗透至这些层中,按照高深宽比通道将排列分为字线。为了创建存储单元,必须移除氮化物层并以钨进行替换。这种钨必须通过深(垂直深度 50:1)通道引入,然后横向扩散,从而以无孔洞的超共形沉积方式填充(之前的)氮化物水平面(横向比约 10:1)。原子层沉积能够一次沉积一个薄层,这就确保了均匀填充,并防止因堵塞而产生的空隙。







3D NAND结构示意图 资料来源:LamResearch ④先进晶体管结构需要全方位的 ALD解决方案 晶体管是构成逻辑电路、微处理器及记忆元件的基本单元,漏电一直是影响其良率、性能和 功耗的重要影响因素。在晶体管缩小的基础上,为了进一步提升器件性能,晶体管结构也在发生 变化。与平面晶体管(如 MOSFET)相比,FinFET是一种具有高架沟道的三维晶体管,栅极环绕 该沟道,制备难度更大。在标准平面替换闸极技术中,金属栅极堆叠由 ALD、PVD以及 CVD多 种技术沉积金属层结合组成,但器件过渡到 FinFET、GAA等三维结构,PVD和 CVD则难以达 到沉积效果,需要全方位的 ALD解决方案。ALD所沉积的 Spacer材料的宽度即决定了 Fin的宽 度,是制约逻辑芯片制程先进程度的核心因素之一。 不同制程下晶体管结构 资料来源:LamResearch

综上所述,在半导体制程进入 28nm后,由于器件结构不断缩小且更为 3D立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面均匀镀膜。在此背景下,ALD技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。SEMI预计 2020年-2025年全球 ALD设备市场规模年复合增长率将达到 26.3%,在各类关键晶圆生产设备中增速最快。

目前,半导体行业的薄膜沉积设备中,ALD设备作为先进制程所必须的工艺设备,在大规模量产方面国内厂商尚未形成突破。当技术节点向 14纳米甚至更小的方向升级时,与 PVD设备和 CVD设备相比,ALD设备的必要性更加凸显。目前,基于供应链安全考虑,国内设备制造商正面临更多的机会。面对半导体设备向高精度化与高集成化方向发展的趋势,以及国产化进程加快的背景下,国产半导体 ALD设备迎来前所未有的发展契机。

(3)CVD等传统薄膜沉积技术仍具有十分广泛的应用和市场空间
虽然随着 ALD技术的发展,其应用范围逐步拓展,但由于芯片的制造过程中,涉及数十乃至百余种不同要求的薄膜材料,各类电性能、机械性能不同的薄膜构成了芯片 3D结构体中不同的功能,不同种类的薄膜沉积设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等不同要求,CVD等传统薄膜沉积设备仍广泛应用于半导体薄膜沉积的各环节,并占据一定的市场空间。根据 SEMI和北京欧立信数据显示,在 2021年全球各类薄膜沉积设备市场份额中,PECVD、LPCVD等 CVD技术仍是薄膜设备中占比最高的设备类型,PECVD占整体薄膜沉积设备市场的33%,LPCVD设备占比各约为 11%。

其中,PECVD设备是芯片制造的核心设备之一。由于等离子体的作用,可以在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备之一。

再如,LPCVD技术中,反应压强下降到 100Torr及以下,分子的自由程与气体扩散系数增大,气态反应物和副产物的质量传输速率加快,形成薄膜的反应速率增加,具备较佳的阶梯覆盖率及很好的组成成份和结构控制。LPCVD设备具有沉积速率快,产能高等特点,且不需要载子气体,大大降低了颗粒污染源,被广泛地应用在芯片制造过程中。

PECVD、LPCVD等 CVD设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求,相关设备覆盖的工艺范围广,应用场景也较多。因国内半导体行业发展较为迅速,且目前 CVD的国产化率水平还处于较低水平,国内 CVD设备市场具有十分广阔的市场空间。

(4)公司半导体 ALD技术的发展情况
公司半导体 ALD设备的应用场景均代表国内半导体各细分领域的先进工艺发展方向,在逻辑芯片、存储芯片、新型显示芯片、化合物半导体领域均有设备订单,并已在客户段验收或客户验证,具体情况如下:
①在逻辑芯片领域,已开发的 28nm逻辑芯片中高 K栅介质层是国内集成电路突破 28nm先进制程节点要求最高的工艺之一。公司 ALD设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备的高 K材料 HfO2较好的满足了 28nm逻辑器件制造过程的需要,相关设备已取得客户验收,实现产业化应用,并已获得重复订单。同时,公司还在逻辑芯片领域陆续开发新的设备工艺和材料应用。

②在存储芯片领域,ALD设备在高 K栅电容介质层、介质覆盖层、电极、阻挡层等工艺中的优势使其被广泛应用于 DRAM、3D-NAND、新型存储器等半导体制造领域,未来其在薄膜沉积环节的市场占有率将持续提高。公司应用于该领域的设备已进入产业化验证阶段,其中单片型ALD设备已获得多种工艺设备的重复订单;批量型 ALD设备也已获得客户订单,且为行业首台批量型 ALD设备在存储芯片制造领域的应用。

③在新型显示芯片领域,硅基微型显示芯片的阻水阻氧保护层应用于硅基 OLED微型显示芯片,该类显示芯片采用集成电路 CMOS工艺,作为半导体和 OLED结合的一种新型显示技术,具有较大发展前景。公司应用于该领域的批量型 ALD设备产品已获得多个客户订单,处于产业化验证阶段。

④在化合物半导体领域,第三代化合物半导体的钝化层和过渡层应用第三代化合物半导体功率器件,具有广阔的市场前景。例如,氮化镓器件相对于硅基器件有高频高压的特点,其栅极结构逐渐被 V型或深沟槽型结构取代,氮化镓器件的漏电问题也日益突出。ALD技术适合于生长超薄 Al O、AlN等薄膜作为钝化层和过渡层,可以起到更好的器件漏电抑制效果,保证器件具有2 3
良好的漏电和击穿性能。

随着逻辑芯片、DRAM、3D NAND及新型存储器芯片、化合物半导体、新型显示芯片等先高,对 ALD设备采购需求将会持续增加。公司的 ALD设备凭借其薄膜厚度精确度高、均匀性好、 台阶覆盖率极高等优点,已经与下游半导体制造厂商就各类先进应用开展合作,能够满足客户制 备高质量薄膜的需求。在国产化进程加快的背景下,随着下游客户逐步达产和半导体各细分领域 先进工艺应用投资规模的扩大,公司产品将具有更广阔的市场前景。 (5)公司半导体 CVD技术的发展情况 PECVD、LPCVD等 CVD产品具有较为广阔的市场空间,且目前国产化率水平还处于较低 水平。公司基于客户关键工艺开发的战略需求,以 CVD的硬掩模工艺为介入点,依托产业化应 用中心强大的前瞻工艺开发能力及国际化的研发团队,和公司所具有的半导体设备设计制造能力, 解决关键工艺卡脖子问题,进行差异化策略,开发 CVD领域具有市场前景和竞争力的关键设备。 相关产品可应用于芯片制造硬掩膜与高级图案化、钝化层、扩散阻挡层、介电层、电容覆盖层等 领域。截止目前,该系列部分产品已发往客户处进行试样验证。 2、光伏薄膜沉积设备技术发展情况 光伏薄膜沉积设备技术的演进路径与光伏电池类型变化相关。根据所需沉积薄膜类型的不 同,光伏领域各技术路线有其各自适合的应用场景,并随着光伏电池技术发展而动态变化。太阳 能电池片技术路线主要包括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)、XBC电池、 钙钛矿等。目前,PERC技术已经非常成熟,TOPCon正逐步成为主流,同时行业内也在积极探索 或布局 HJT、XBC、钙钛矿等新兴太阳能电池技术,目前尚处于实验或验证阶段。 以 PERC和 TOPCon电池为例,其工艺流程及各环节主要设备如下: 光伏领域中薄膜沉积技术以 PECVD和 ALD为主,综合使用多项技术路线是行业趋势。

PECVD技术因其兼容性高,各类型应用前景广泛。ALD技术作为成膜质量最好的技术,随着光伏效率提升对薄膜工艺要求提高,也有更多的应用场景。行业内薄膜设备厂商目前主要以 PECVD或 ALD技术路线为主,根据各自的技术积累和未来技术方向的专业判断,同时进行多种技术路线的选择和尝试。

公司 ALD技术在 TOPCon电池中已经取得良好应用,因 ALD技术优异的保型性且薄膜材料主流技术路线。同时,公司还基于 PEALD、PECVD等多种真空薄膜技术,开发多款不同技术路线的产品,更好的为下游电池厂商提供薄膜沉积的整体解决方案。由公司开发的行业内首条 GW级 TOPCon工艺整线已经获得客户的验收,带动和引领了行业内 TOPCon电池的量产导入。同时,公司还积极地探索开发在 XBC、异质结/钙钛矿叠层电池等新一代高效电池方面的技术。


3 公司主要会计数据和财务指标
3.1 近 3年的主要会计数据和财务指标
单位:元 币种:人民币

 2022年2021年本年比上年 增减(%)2020年
总资产3,819,741,719.031,356,913,306.92181.501,104,861,136.86
归属于上市公司股 东的净资产1,962,789,244.48883,499,353.13122.16645,569,112.36
营业收入684,511,905.51427,917,135.5259.96312,554,108.30
归属于上市公司股 东的净利润54,150,541.0346,113,669.4717.4357,014,378.82
归属于上市公司股 东的扣除非经常性 损益的净利润19,806,262.2726,689,023.66-25.7950,985,447.84
经营活动产生的现 金流量净额168,496,903.06-76,312,212.82不适用8,275,927.61
加权平均净资产收 益率(%)5.956.43减少0.19个百分点21.44
基本每股收益(元 /股)0.130.1118.181.20
稀释每股收益(元 /股)0.130.1118.181.20
研发投入占营业收 入的比例(%)20.2222.68减少2.46个百分点17.19

3.2 报告期分季度的主要会计数据
单位:元 币种:人民币

 第一季度 (1-3月份)第二季度 (4-6月份)第三季度 (7-9月份)第四季度 (10-12月份)
营业收入132,128,523.1023,484,591.37229,439,388.94299,459,402.10
归属于上市公司股东 的净利润2,608,332.70-41,858,627.2435,995,572.6557,405,262.92
归属于上市公司股东 的扣除非经常性损益 后的净利润-3,206,570.69-46,099,962.6531,533,264.9737,579,530.64
经营活动产生的现金 流量净额-30,177,844.2425,658,281.9065,545,998.44107,470,466.96
季度数据与已披露定期报告数据差异说明
□适用 √不适用
4 股东情况
4.1 普通股股东总数、表决权恢复的优先股股东总数和持有特别表决权股份的股东总数及前 10名股东情况
单位: 股

截至报告期末普通股股东总数(户)10,179       
年度报告披露日前上一月末的普通股股东总数(户)8,706       
截至报告期末表决权恢复的优先股股东总数(户)0       
年度报告披露日前上一月末表决权恢复的优先股 股东总数(户)0       
截至报告期末持有特别表决权股份的股东总数 (户)0       
年度报告披露日前上一月末持有特别表决权股份 的股东总数(户)0       
前十名股东持股情况        
股东名称 (全称)报告期内增 减期末持股数 量比例 (%)持有有限售 条件股份数 量包含转融通 借出股份的 限售股份数 量质押、标 记或冻结 情况 股东 性质
      股 份 状 态数 量 
无锡万海盈投资 合伙企业(有限合 伙)232,581,624232,581,62451.18232,581,624232,581,6240境内非 国有法 人
LI,WEIMIN42,831,70442,831,7049.4242,831,70442,831,7040境外自 然人
无锡聚海盈管理 咨询合伙企业(有 限合伙)37,798,35237,798,3528.3237,798,35237,798,3520境内非 国有法 人
LI,XIANG20,158,46420,158,4644.4420,158,46420,158,4640境外自 然人
胡彬12,594,00812,594,0082.7712,594,00812,594,0080境内自 然人
潘景伟8,994,0008,994,0001.988,994,0008,994,0000境内自 然人
宁波梅山保税港 区问鼎投资有限 公司6,509,2326,509,2321.436,509,2326,509,2320境内非 国有法 人
中芯聚源股权投 资管理(天津)合 伙企业(有限合 伙)-中小企业发 展基金(绍兴)股 权投资合伙企业 (有限合伙)5,424,5235,424,5231.195,424,5235,424,5230境内非 国有法 人
香港瑞華投資有 限公司5,424,3685,424,3681.195,424,3685,424,3680境外法 人
无锡德厚盈投资 合伙企业(有限合 伙)5,041,8485,041,8481.115,041,8485,041,8480境内非 国有法 人
上述股东关联关系或一致行动的说明1、万海盈投资、聚海盈管理、德厚盈投资存在关联 关系及一致行动关系。2、问鼎投资、瑞华投资存在 关联关系。3、除此之外,未知上述其他股东是否存 在关联关系或一致行动关系。       
表决权恢复的优先股股东及持股数量的说明       
存托凭证持有人情况 □适用 √不适用 截至报告期末表决权数量前十名股东情况表 □适用 √不适用 4.2 公司与控股股东之间的产权及控制关系的方框图 √适用 □不适用 4.3 公司与实际控制人之间的产权及控制关系的方框图 √适用 □不适用 4.4 报告期末公司优先股股东总数及前 10 名股东情况
□适用 √不适用
5 公司债券情况
□适用 √不适用
第三节 重要事项
1 公司应当根据重要性原则,披露报告期内公司经营情况的重大变化,以及报告期内发生的对公司经营情况有重大影响和预计未来会有重大影响的事项。
公司 2022年营业收入 68,451.19万元,同比增长 59.96%;2022年归属于上市公司股东的净利润 5,415.05万元,同比增长 17.43%;2022年扣除非经常性损益后的归属于上市公司股东的净利润 1,980.63万元,同比减少-25.79%;2022年末公司总资产 381,974.17万元,同比增长 181.50%;2022年末归属于上市公司股东的净资产 196,278.92万元,增长 122.16%。


2 公司年度报告披露后存在退市风险警示或终止上市情形的,应当披露导致退市风险警示或终止上市情形的原因。

□适用 √不适用


  中财网
各版头条