[年报]微导纳米(688147):江苏微导纳米科技股份有限公司2022年年度报告

时间:2023年04月24日 21:27:44 中财网

原标题:微导纳米:江苏微导纳米科技股份有限公司2022年年度报告

公司代码:688147 公司简称:微导纳米 江苏微导纳米科技股份有限公司
2022年年度报告









重要提示
一、 本公司董事会、监事会及董事、监事、高级管理人员保证年度报告内容的真实性、准确性、完整性,不存在虚假记载、误导性陈述或重大遗漏,并承担个别和连带的法律责任。


二、 公司上市时未盈利且尚未实现盈利
□是 √否

三、 重大风险提示
公司已在报告中详细描述可能存在的相关风险,敬请查阅第三节管理层讨论与分析“四、风险因素”部分内容。


四、 公司全体董事出席董事会会议。


五、 天职国际会计师事务所(特殊普通合伙)为本公司出具了标准无保留意见的审计报告。


六、 公司负责人王磊、主管会计工作负责人俞潇莹及会计机构负责人(会计主管人员)俞潇莹声明:保证年度报告中财务报告的真实、准确、完整。


七、 董事会决议通过的本报告期利润分配预案或公积金转增股本预案 考虑到公司未来产品研发、市场拓展及订单实施等运营资金需求量较大,为保障公司长期稳定发展,更好地维护全体股东的长远利益,公司 2022年度不进行利润分配,也不进行资本公积转增股本。以上利润分配预案已经公司第二届董事会第五次会议及第二届监事会第五次会议审议通过,尚需公司 2022年年度股东大会审议。


八、 是否存在公司治理特殊安排等重要事项
□适用 √不适用
九、 前瞻性陈述的风险声明
√适用 □不适用
本报告中所涉及的未来计划、发展战略等前瞻性陈述不构成对投资者的实质承诺,敬请投资者注意投资风险。


十、 是否存在被控股股东及其他关联方非经营性占用资金情况


十一、 是否存在违反规定决策程序对外提供担保的情况


十二、 是否存在半数以上董事无法保证公司所披露年度报告的真实性、准确性和完整性
十三、 其他
□适用 √不适用



目录
第一节 释义 ..................................................................................................................................... 5
第二节 公司简介和主要财务指标 ................................................................................................. 7
第三节 公司治理 ........................................................................................................................... 50
第四节 环境、社会责任和其他公司治理 ................................................................................... 67
第五节 重要事项 ........................................................................................................................... 73
第六节 股份变动及股东情况 ..................................................................................................... 100
第七节 优先股相关情况 ............................................................................................................. 112
第八节 债券相关情况 ................................................................................................................. 112
第九节 财务报告 ......................................................................................................................... 113



备查文件目录载有公司负责人、主管会计工作负责人、会计机构负责人(会计主管人员)签名并 盖章的财务报表。
 载有会计师事务所盖章、注册会计师签名并盖章的审计报告原件。
 报告期内公开披露过的所有公司文件的正本及公告的原稿。



第一节 释义
一、 释义
在本报告书中,除非文义另有所指,下列词语具有如下含义:

常用词语释义  
微导纳米、本公 司、公司江苏微导纳米科技股份有限公司
万海盈投资无锡万海盈投资合伙企业(有限合伙)
聚海盈管理无锡聚海盈管理咨询合伙企业(有限合伙)
德厚盈投资无锡德厚盈投资合伙企业(有限合伙)
先导智能无锡先导智能装备股份有限公司(股票代码:300450.SZ)
芯链融创芯链融创集成电路产业发展(北京)有限公司
通威太阳能通威股份有限公司(股票代码:600438.SH)及其关联方
天合光能天合光能股份有限公司(股票代码:688599.SH)及其关联方
晶澳太阳能晶澳太阳能科技股份有限公司(股票代码:002459.SZ)及其关联方
阿特斯阿特斯阳光电力集团股份有限公司(CISQ.O)及其关联方
隆基股份隆基绿能科技股份有限公司(股票代码:601012.SH)及其关联方
《公司章程》《江苏微导纳米科技股份有限公司章程》
《公司法》《中华人民共和国公司法》及其不时修订
《证券法》《中华人民共和国证券法》及其不时修订
《上市规则》《上海证券交易所科创板股票上市规则》及其不时修订
中国证监会中国证券监督管理委员会
上交所上海证券交易所
保荐人、保荐机 构、浙商证券浙商证券股份有限公司
报告期2022年 1月 1日-2022年 12月 31日
报告期期末2022年 12月末
元、万元、亿元人民币元、人民币万元、人民币亿元
ALD、原子层沉积Atomic Layer Deposition,是一种可以将物质以单原子层形式一层一 层地镀在基底表面的工艺
CVDChemical Vapor Deposition(化学气相沉积法),利用气态或蒸汽态 的物质在气相或气固界面上发生反应生成固态沉积物的过程
PECVDPlasma Enhanced Chemical Vapor Deposition(等离子体增强化学气 相沉积),CVD的一种,在沉积室利用辉光放电使其电离后在衬底 上进行化学反应沉积的半导体薄膜材料制备和其他材料薄膜的制备 方法
LPCVDLow Pressure Chemical Vapor Deposition(低压化学汽相沉积), CVD的一种
晶硅太阳能电池采用晶体硅作为半导体材料的太阳能光伏电池
柔性电子Flexible Electronics,是一种技术的通称,是将有机/无机材料电子器 件制作在柔性/可延性基板上的新兴电子技术
MEMSMicro Electro Mechanical System(微机电系统),是集微传感器、 微执行器、微机械结构、微电源微能源、信号处理和控制电路、高 性能电子集成器件、接口、通信等于一体的微型器件或系统,其内 部结构一般在微米甚至纳米量级,是一个独立的智能系统
晶圆用于制作芯片的圆形硅晶体半导体材料
由石英或金属连接而成承载晶圆的装置
LEDLight Emitting Diode(发光二极管),一种常用的发光器件,通过 电子与空穴复合释放能量发光
OLEDOrganicLight Emitting Diode,属于一种电流型的有机发光器件,是 通过载流子的注入和复合而致发光的现象,发光强度与注入的电流 成正比
LPCVDLow Pressure Chemical Vapor Deposition(低压化学汽相沉积), CVD的一种
PVDPhysical Vapor Deposition(物理气相沉积),利用物理过程实现物 质转移,将原子或分子由源转移到基材表面上的过程
TALDThermal Atomic Layer Deposition(热原子层沉积),一种原子层沉 积技术
PEALDPlasma Enhanced Atomic Layer Deposition(等离子体增强原子层沉 积),一种原子层沉积技术
TOPConTunnel Oxide Passivated Contact,隧穿氧化物钝化接触,一种电池 结构
HJTHeterojunction with Intrinsic Thin Layer(具有本征薄层异质结),又 称为 HJT/SHJ,一种异质结太阳能电池
IBCInterdigitated Back Contact(叉型背接触电池),一种高效晶硅太 阳能电池结构
XBCIBC 以及 TBC(TOPCon技术与 IBC技术结合的 BC类太阳能电池 结构)等 BC类太阳能电池
k、介电常数希腊文 Kappa,描述一种材料保有电荷的能力
高 k、High-k具有高 k性质的材料可以比其他材料能够更好的存储电荷
栅、栅极Gate,用来打开或闭合晶体管,包括有多晶硅栅、金属栅等
栅介质Gate dielectric,是用来将栅从电流通道隔离出来的绝缘体底层
多重曝光Multiple Patterning,将一层图形光掩模拆成两层或多层光掩模,分 先后制作,实现精度更高的图形制造
场效应晶体管Field-effect Transistor,即场效应晶体管,包含源、栅、漏极的晶体 管。其行为由源极经过栅极流向漏极的多数载流子电流决定。电流 由栅极下的横向电场控制
DRAMDynamic Random Access Memory,动态随机存储器,采用动态存储 单元的随机存储器
SEMISemiconductor Equipment and Materials International,国际半导体装 备与材料产业协会
nm/纳米长度的度量单位,国际单位制符号为 nm,1纳米等于一百万分之一 毫米
KW、MW、GW千瓦、兆瓦、吉瓦,1MW=1,000KW,1GW=1,000MW



第二节 公司简介和主要财务指标
一、公司基本情况

公司的中文名称江苏微导纳米科技股份有限公司
公司的中文简称微导纳米
公司的外文名称Jiangsu Leadmicro Nano Technology Co., Ltd.
公司的外文名称缩写Leadmicro
公司的法定代表人王磊
公司注册地址无锡市新吴区漓江路11号(经营场所:无锡市新吴区 新硕路9-6号厂房)
公司注册地址的历史变更情况由“无锡市新吴区漓江路11号”变更为“无锡市新吴区漓 江路11号(经营场所:无锡市新吴区新硕路9-6号厂房 )”
公司办公地址无锡市新吴区新硕路9-6号厂房
公司办公地址的邮政编码214000
公司网址www.leadmicro.com
电子信箱[email protected]

二、联系人和联系方式

 董事会秘书(信息披露境内代表)证券事务代表
姓名龙文朱敏晓
联系地址无锡市新吴区新硕路9-6号厂房无锡市新吴区新硕路9-6号厂房
电话0510-819759860510-81975986
传真0510-811636480510-81163648
电子信箱[email protected][email protected]

三、信息披露及备置地点

公司披露年度报告的媒体名称及网址《中国证券报》《上海证券报》《证券时报》《证 券日报》和经济参考网(www.jjckb.cn)
公司披露年度报告的证券交易所网址www.sse.com.cn
公司年度报告备置地点公司证券部办公室
四、公司股票/存托凭证简况
(一) 公司股票简况
√适用 □不适用

公司股票简况    
股票种类股票上市交易所 及板块股票简称股票代码变更前股票简称
人民币普通股 (A股)上海证券交易所 科创板微导纳米688147不适用

(二) 公司存托凭证简况
□适用 √不适用
五、其他相关资料

公司聘请的会计师事务所 (境内)名称天职国际会计师事务所(特殊普通合伙)
 办公地址北京海淀区车公庄西路 19号外文文化创意 园 12号楼
 签字会计师姓名郭海龙、李雯敏
报告期内履行持续督导职责 的保荐机构名称浙商证券股份有限公司
 办公地址浙江省杭州市江干区五星路 201号
 签字的保荐代表 人姓名张建、彭浩
 持续督导的期间2022年 12月 23日至 2025年 12月 31日

六、近三年主要会计数据和财务指标
(一) 主要会计数据
单位:元 币种:人民币

主要会计数据2022年2021年本期比上 年同期增 减(%)2020年
营业收入684,511,905.51427,917,135.5259.96312,554,108.30
归属于上市公司股 东的净利润54,150,541.0346,113,669.4717.4357,014,378.82
归属于上市公司股 东的扣除非经常性 损益的净利润19,806,262.2726,689,023.66-25.7950,985,447.84
经营活动产生的现 金流量净额168,496,903.06-76,312,212.82不适用8,275,927.61
 2022年末2021年末本期末比 上年同期 末增减 (%)2020年末
归属于上市公司股 东的净资产1,962,789,244.48883,499,353.13122.16645,569,112.36
总资产3,819,741,719.031,356,913,306.92181.501,104,861,136.86

(二) 主要财务指标

主要财务指标2022年2021年本期比上年同 期增减(%)2020年
基本每股收益(元/股)0.130.1118.181.20
稀释每股收益(元/股)0.130.1118.181.20
扣除非经常性损益后的基本每股 收益(元/股)0.050.07-28.571.07
加权平均净资产收益率(%)5.956.43减少 0.19个 百分点21.44
扣除非经常性损益后的加权平均 净资产收益率(%)2.183.72减少 1.54个 百分点19.18
研发投入占营业收入的比例(%)20.2222.68减少 2.46个 百分点17.19

报告期末公司前三年主要会计数据和财务指标的说明
√适用 □不适用
2022年,公司营业收入为68,451.19万元,同比增长59.96%,主要系报告期内公司持续研发推出符合市场需求的高性能产品,主要产品在光伏PERC及TOPCon等新型高效电池技术领域、半导体领域的销量大幅增长。

2022年,公司归属于上市公司股东的净利润为5,415.05万元,同比增长17.43%,主要系报告期内公司营业收入及营业毛利同比增长,且政府补助、理财收益等收益有所增长所致。2022年,公司归属于上市公司股东的扣除非经常性损益的净利润为1,980.63万元。

2022年,公司经营活动产生的现金流量净额为16,849.69万元,主要系报告期内公司营业收入增长,对应已验收设备产品的销售回款有所增长。同时,公司在TOPCon等新型高效电池技术领域、半导体各细分领域持续深化拓展,新增订单数量大幅增长,对应预收合同款项大幅增长。

2022年,公司总资产、归属于上市公司股东的净资产分别为381,974.17万元、196,278.92万元,分别同比增长181.50%、122.16%,主要系公司业务规模增长,以及首发上市收到募集资金所致。

2022年,公司基本每股收益、稀释每股收益均为 0.13元/股,扣除非经常性损益后的基本每股收益为 0.05元/股。公司加权平均净资产收益率为 5.95%,扣除非经常性损益后的加权平均净资产收益率为 2.18%。以上财务指标较上年度的变动主要系公司净利润变动及公开增发导致的股本总额变动所致。

2022年,公司研发投入占营业收入的比例为 20.22%,较上年度减少 2.46个百分点,主要系公司营业收入规模大幅增长所致。


七、境内外会计准则下会计数据差异
(一) 同时按照国际会计准则与按中国会计准则披露的财务报告中净利润和归属于上市公司股东的净资产差异情况
□适用 √不适用
(二) 同时按照境外会计准则与按中国会计准则披露的财务报告中净利润和归属于上市公司股东的净资产差异情况
□适用 √不适用
(三) 境内外会计准则差异的说明:
□适用 √不适用

八、2022年分季度主要财务数据

 第一季度 (1-3月份)第二季度 (4-6月份)第三季度 (7-9月份)第四季度 (10-12月份)
营业收入132,128,523.1023,484,591.37229,439,388.94299,459,402.10
归属于上市公司股东 的净利润2,608,332.70-41,858,627.2435,995,572.6557,405,262.92
归属于上市公司股东 的扣除非经常性损益 后的净利润-3,206,570.69-46,099,962.6531,533,264.9737,579,530.64
经营活动产生的现金 流量净额-30,177,844.2425,658,281.9065,545,998.44107,470,466.96
季度数据与已披露定期报告数据差异说明
□适用 √不适用

九、非经常性损益项目和金额
√适用 □不适用
单位:元 币种:人民币

非经常性损益项目2022年金额附注 (如适 用)2021年金额2020年金额
非流动资产处置损益358,723.15 -12,372.99237.60
越权审批,或无正式批准文 件,或偶发性的税收返还、减 免    
计入当期损益的政府补助,但 与公司正常经营业务密切相 关,符合国家政策规定、按照 一定标准定额或定量持续享受 的政府补助除外19,685,137.76 11,388,067.766,384,464.06
计入当期损益的对非金融企业 收取的资金占用费    
企业取得子公司、联营企业及 合营企业的投资成本小于取得 投资时应享有被投资单位可辨 认净资产公允价值产生的收益    
非货币性资产交换损益    
委托他人投资或管理资产的损 益    
因不可抗力因素,如遭受自然 灾害而计提的各项资产减值准 备    
债务重组损益    
企业重组费用,如安置职工的 支出、整合费用等    
交易价格显失公允的交易产生 的超过公允价值部分的损益    
同一控制下企业合并产生的子 公司期初至合并日的当期净损    
    
与公司正常经营业务无关的或 有事项产生的损益    
除同公司正常经营业务相关的 有效套期保值业务外,持有交 易性金融资产、衍生金融资 产、交易性金融负债、衍生金 融负债产生的公允价值变动损 益,以及处置交易性金融资 产、衍生金融资产、交易性金 融负债、衍生金融负债和其他 债权投资取得的投资收益15,121,188.31 11,311,233.81248,671.23
单独进行减值测试的应收款 项、合同资产减值准备转回2,414,274.50   
对外委托贷款取得的损益    
采用公允价值模式进行后续计 量的投资性房地产公允价值变 动产生的损益    
根据税收、会计等法律、法规 的要求对当期损益进行一次性 调整对当期损益的影响    
受托经营取得的托管费收入    
除上述各项之外的其他营业外 收入和支出2,699,754.45 110,761.95433,143.99
其他符合非经常性损益定义的 损益项目125,955.66 54,833.9526,343.10
减:所得税影响额6,060,755.07 3,427,878.671,063,929.00
少数股东权益影响额(税 后)    
合计34,344,278.76 19,424,645.816,028,930.98

对公司根据《公开发行证券的公司信息披露解释性公告第1号——非经常性损益》定义界定的非经常性损益项目,以及把《公开发行证券的公司信息披露解释性公告第1号——非经常性损益》中列举的非经常性损益项目界定为经常性损益的项目,应说明原因。

√适用 □不适用
单位:元 币种:人民币

项目涉及金额原因
代扣个人所得税手续费返还125,955.66具有偶发性

十、采用公允价值计量的项目
√适用 □不适用
单位:元 币种:人民币

项目名称期初余额期末余额当期变动对当期利润的影 响金额
交易性金融资 产247,378,850.0010,099,125.00-237,279,725.004,910,451.19
应收款项融资16,202,550.67129,670,115.86113,467,565.190.00
其他流动资产248,187,466.67251,662,875.003,475,408.3310,210,737.12
其他权益工具 投资4,000,000.004,000,000.000.000.00
合计515,768,867.34395,432,115.86-120,336,751.4815,121,188.31

十一、非企业会计准则业绩指标说明
□适用 √不适用

十二、因国家秘密、商业秘密等原因的信息暂缓、豁免情况说明
□适用 √不适用


第三节 管理层讨论与分析
一、经营情况讨论与分析
微导纳米是一家面向全球的半导体、泛半导体高端微纳装备制造商。公司形成了以原子层沉积(ALD)技术为核心,CVD等多种真空薄膜技术梯次发展的产品体系,专注于先进微米级、纳米级薄膜设备的研发、生产与销售,向下游客户提供先进薄膜设备、配套产品及服务。

在半导体领域内,公司已与国内多家厂商建立了深度的合作关系,深化推动 ALD产业化应用领域和业务迅速发展,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等诸多细分应用领域,多项设备的工艺质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。同时,公司藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了多种 CVD真空薄膜技术产品。在光伏领域内,公司作为率先将 ALD技术规模化应用于国内光伏电池生产的企业,目前已成为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系。根据公开的市场数据统计,公司 ALD产品已连续多年在营收规模、订单总量和市场占有率方面位居国内同类企业第一。

公司先后荣获工信部专精特新小巨人企业、苏南国家自主创新示范区独角兽企业、江苏省小巨人企业(制造类)等荣誉称号,并被认定为江苏省原子层沉积技术工程技术研究中心、江苏省原子层沉积技术工程研究中心、江苏省省级企业技术中心、江苏省外国专家工作室、江苏省博士后创新实践基地、江苏省研究生工作站、江苏省省级企业技术中心。此外,公司于报告期末被江苏省科学技术厅、江苏省财政厅、国家税务总局江苏省税务局继续认定为高新技术企业(有效期3年),公司凤凰 300原子层沉积设备(现已重新命名为 iTomic原子层沉积设备)入选第十五届中国半导体创新产品。

(一)报告期内经营情况概述
2022年度,随着摩尔定律不断演化,集成电路的特征尺寸及刻蚀沟槽不断微缩,ALD等先进真空薄膜技术在半导体设备国产化进程中扮演越来越重要的角色。终端应用需求增长、进口替代和自主可控等因素驱动了国内晶圆厂逆周期扩产和工艺迭代升级,加速了国内半导体行业头部客户对国产 ALD设备的产业化验证。同期,在全球碳中和的背景下光伏行业快速发展,装机量持续增加,客户产能扩充计划加速。随着公司与行业多家头部客户共同合作的 TOPCon新型高效电池生产线实现规模化的量产,TOPCon产能率先放量,下游客户扩产计划加速。技术更迭推动ALD技术在新一代高效电池设备中投资比重增加,大幅度提升了 ALD设备在光伏领域的市场渗透率,公司 CVD产品的产业化应用更进一步提升公司产品在高效电池产线中的价值量。

根据 SEMI行业统计,半导体薄膜技术领域,ALD约占镀膜板块的 11%市场份额,未来几年保持高速增长,复合增长率高达 26.3%。CVD约占镀膜板块的 57%市场份额,未来几年的复合增长率约为 8.9%。目前,ALD和 CVD技术目前国产化率仍处于非常低的水平,故而有着非常广阔的发展前景。

报告期内,公司牢牢把握市场机遇,保持行业先发优势,不断丰富公司产品矩阵,进一步打开行业空间。半导体领域内,公司加速了各细分领域的产品研发、产业验证和应用,实现多项ALD、CVD技术产业化的突破,在保持 ALD产品市场竞争力和占有率的同时,不断推出更多具备竞争力的 CVD系列产品。


半导体领域以 ALD为核心拓展 CVD等多种真空技术和产品的研究开发 光伏领域内,公司进一步深化并拓宽了市场覆盖率,引领了行业 TOPCon高效电池的量产导 入,通过进一步丰富公司技术及产品矩阵,全力推进工艺整线产品策略的实施,并加快海外市场 的拓展,同时积极布局更新一代的高效电池技术和产品,既充分抓住当下市场机遇,同时储备未 来技术方向。最新一代夸父系列产品已广泛应用于光伏行业内一线客户,成为光伏行业主流镀膜 方案,开发的行业内首条 GW级 TOPCon电池工艺整线项目通过验收。 光伏领域推进以 ALD为核心的工艺整线策略和新一代高效光伏电池技术开发
柔性电子领域内,公司产品实现销售,取得阶段性突破;其他新兴应用方面,公司依托产业化应用中心搭建了项目团队,形成技术平台,将 ALD、CVD等薄膜沉积技术产业化应用在更多新兴领域,为后期横向以及纵深发展奠定基础。

报告期内,公司新增订单增长迅速,产品销量增加明显,全年实现营业收入 68,451.19万元,较上一年度同比增长 59.96%;实现归属于上市公司股东净利润 5,415.05万元,同比增长 17.43%。

按照下游行业分类,光伏设备实现营业收入 50,094.12万元,较上一年度同比增加 81.98%;半导体设备实现营业收入4,697.63万元,同比增长86.41%;柔性电子设备实现了销售突破。截止2022年 12月底,公司在手专用设备订单 22.93亿元,其中光伏设备订单 19.67亿元,半导体设备订单2.57亿元,其他设备订单 6,881万元。2023年 1月初至本报告出具日,公司新增专用设备订单22.74亿元,其中新增半导体设备订单 2.42亿元,新增光伏设备订单 20.16亿元,新增其他设备(二)具体经营工作完成情况
1、深入贯彻创新驱动发展战略,加大研发投入和技术保护,构建长期竞争优势 报告期内,公司坚持自主创新,持续加大研发投入,巩固现有技术优势,拓展并深化产业前瞻领域的应用。2022年公司研发投入 13,839.54万元,相较去年同期增长 42.62%,占收入比例为20.22%。其中,半导体领域研发投入占比约为 55.19%,投向包括逻辑、存储、新型显示器、化合物半导体等项目;光伏领域研发投入占比约为 37.47%,投向包括 TOPCon、XBC、钙钛矿/异质结叠层电池等新一代高效电池技术等项目。同时,公司研发队伍人员不断充实,截至报告期末,研发人员达到 241人,同比增长 28.19%。目前已形成了一支结构合理、分工明确、专业知识储备深厚、产线验证经验丰富的研发团队。研发团队的构建将不断助力公司下游应用领域关键产品和技术的攻关与突破。

在持续强化技术壁垒的同时,公司高度重视技术保护工作,强调知识产权发展战略与企业发展规划的融合,完善专利布局。2022年新增专利申请及授权数量再创新高,各类型国家专利授权共计 16项,累计达到 102项。

2、紧跟行业发展趋势,完善产品布局,提高市场空间
报告期内,公司紧跟行业技术发展趋势,响应客户需求,新产品的开发和迭代速度突破历史最佳水平。半导体领域,公司加速逻辑、存储芯片、化合物半导体、新型显示芯片等半导体各细分领域产品的产业化,针对各细分应用领域研发试制新型 ALD设备,并进一步完善产品矩阵,开发 CVD等产品,满足客户在各技术节点上对薄膜沉积设备的需求。在光伏领域内,公司持续丰富产品线,提供 ALD、PECVD、PEALD、扩散等多种产品,推进实施 AEP?(ALD Enabled Photovoltaics)技术为核心的 TOPCon电池工艺整线策略,提高公司产品在客户产线投资中的占比,为客户提供更为完整、高效、经济的薄膜沉积解决方案。由公司开发的行业内首条 GW级TOPCon工艺整线项目已经取得客户的验收,实现产业化应用。公司同时积极储备下一代高效电池相关产品和技术。

公司目前产品布局进展情况详见本章节之“二、报告期内公司所从事的主要业务、经营模式、行业情况及研发情况说明”之“(一)主要业务、主要产品或服务情况”。

3、快速响应客户需求,为客户创造价值,提升客户覆盖率
报告期内,公司持续打造高端装备制造商的优质品牌,深化与国内外知名客户的合作,并拓展新兴领域市场,不断加强客户服务,跟踪售后,提升设备的稳定性、可靠性和经济性。在半导体领域内,先后获得国内多家知名半导体公司的商业订单及重复订单,与多家国内半导体厂商及验证平台签署了保密协议并合作开发量产化工艺技术。光伏领域,公司已建立了较高的品牌知名度,客户群体已基本覆盖光伏领域内包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商,未来将大力拓展海外市场。除此之外,还在柔性电子等其他市场领域的有所突破。

4、积极推进产能扩充,优化供应链管理,增强交付能力
报告期内,面对国际贸易局势变动导致的全球产业链调整,公司重点加强了在需求预测、库存管理和供应商管理等方面的运营,积极开展供应链多元化工作,通过战略性采购、联合开发、寻找替代供应商等方式持续推动核心零部件多元化,保证核心部件可控性。同时,面对订单快速增长带来的交付压力,公司通过灵活安排各类产品生产规模、用工人数的方式,缩短交期,保障交付,设备产量大幅度增加。

5、完善管理体系建设,提高经营管理水平,助力公司持续健康发展 报告期内,公司加强了在公司治理、内部控制、信息披露、财务管理体系等方面的制度建设,规范经营行为,提高公司经营管理水平。同时,建立并完善内部全面质量管理体系,使市场需求、产品开发和技术升级、生产管理、质量管控、安环管理、市场营销、客户管理、售后服务以及财务管理等关键环节有效连接并可精确追溯。推行 7S管理理念以及绩效考核制度,进一步落实和优化员工激励机制,增强公司的凝聚力和核心团队的稳定性。


二、报告期内公司所从事的主要业务、经营模式、行业情况及研发情况说明 (一) 主要业务、主要产品或服务情况
微导纳米是一家面向全球的半导体、泛半导体高端微纳装备制造商。公司形成了以原子层沉积(ALD)技术为核心, CVD等多种真空薄膜技术梯次发展的产品体系,专注于先进微米级、纳米级薄膜设备的研发、生产与销售,向下游客户提供先进薄膜设备、配套产品及服务。在半导体领域内,公司已与国内多家厂商建立了深度的合作关系,深化推动 ALD产业化应用领域和业务迅速发展,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等诸多细分应用领域,多项设备的工艺质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。同时,公司藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了多种 CVD真空薄膜技术产品。在光伏领域内,公司作为率先将 ALD技术规模化应用于国内光伏电池生产的企业,目前已成为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系。根据公开的市场数据统计,公司 ALD产品已连续多年在营收规模、订单总量和市场占有率方面位居国内同类企业第一。

公司已开发和正在开发的适用于半导体、光伏等应用领域的多款薄膜沉积设备,涵盖 ALD、CVD系列产品,并提供配套产品及服务,具体如下:
1、半导体领域主要产品

产品图示产品说明
 适用于高介电常数(High-k)栅氧层、 MIM电容器绝缘层、TSV介质层金属、 金属氮化物等薄膜工艺需求。产品凭借 原子级别的精确控制、高覆盖率薄膜沉 积和极高的工艺均匀性等优势,可为逻 辑芯片、存储芯片、微纳制造以及先进 封装提供介质层等关键工艺解决方案。
 采用创新的批量型(mini-batch)腔体 设计,可一次处理 25片 12英寸晶圆, 适用于成膜镀率低,厚度要求高,以及 产能要求高的关键工艺及应用。产品利 用特有的流场设计,具有成膜速度快, 占地面积小,产能高、使用成本低等优 势,为存储芯片以及 Micro-OLED显示 器、MEMS等提供定制化量产的解决方 案。
 采用原创设计开发的自动化平台与模块 化 ALD反应腔相结合,可以按需配置 PEALD或 Thermal ALD等工艺需求。 iTomic Lite系列设备具有强大的兼容 性,其硬件配置在保持量产机型强大功 能的前提下,可满足各类晶圆尺寸 (6、8英寸)量产工艺需求,同时也可 满足客户高端研发和新工艺试量产需 求。 iTomic Lite系列可广泛应用于 MEMS、光电器件等泛半导体器件领 域。
 可根据不同温度要求制备氧化硅、氮化 硅、氮氧化硅等薄膜制备工艺及应用, 通过精准快速控制成膜速度、超低反应 温度、材料配比等技术,完美实现材料 厚度均匀性、膜应力,热过程,以及阶 梯覆盖率等极具挑战的工艺需求,技术 达到国际先进水平。iTomic PE系列设 备可为逻辑芯片、存储芯片、先进封装 等提供客制化掩膜层、介质层、图案化 等关键工艺解决方案。
 Trancendor平台系列是微导纳米独立研 发的、适用于高产能半导体制程设备的 晶圆传输系统。该系统可根据客户工艺 需要,灵活挂载一至多个工艺腔体(每 个工艺腔体可配备一至多个工作站)在 真空环境下进行快速高效晶圆传输。
 iTronix系列 CVD系统是公司根据下游 客户需求,独立开发或合作开发的多款 CVD产品系列,应用于 CVD技术不同 镀膜领域,适用于制备氧化硅、氮化 硅、氮氧化硅、非晶碳、非晶硅、掺杂 非晶硅、锗硅等不同种类薄膜,可应用 于逻辑、存储、先进封装、显示器件以 及化合物半导体等领域芯片制造。
注:1、随着公司产品种类的不断丰富,公司持续完善产品型号命名规则。报告期内,公司结合设备平台类型重新命名产品型号,下同;2、产业化应用是指已实现销售,产业化验证是指已签署合同并正在履行,开发实现是指已形成研发样机,虽未与客户签署销售合同但已发往客户处进行试样验证,下同。


iTomic系列原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统及新型存储芯片的电容介质层、高 K栅介质覆盖层、掺杂介质层、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等多个应用领域。该系列部分产品已取得客户验收,实现产业化应用,并取得重复订单。

iTomic MW系列批量式原子层沉积镀膜系统,适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于逻辑芯片、传统和新型存储芯片电容介质层、掺杂介质层、新型显示器、芯片制造电极及阻挡层、化合物半导体钝化和过渡层等应用领域。该系列部分产品已经取得客户订单,进入产业化验证阶段。

iTomic PE系列等离子体增强原子层沉积镀膜系统适用于沉积多种氧化物和氮化物、互相掺杂沉积工艺等薄膜材料,可用于MEMS、逻辑、存储、CMOS芯片的多重图案化和间隔层。该系列部分产品已发往客户处进行试样验证。

iTronix系列 CVD系统,系公司基于客户关键工艺开发的战略需求,正在开发的新产品系列,适用于沉积氧化物、氮化物等薄膜材料。产品可用于芯片制造钝化层、扩散阻挡层、介电层、硬掩膜层与高级图案化层、电容覆盖层等应用领域。该系列产品将以硬掩模工艺为切入点,依托产业化应用中心强大的前瞻工艺开发能力及国际化的研发团队,以及公司所具有的半导体设备设计制造能力,解决关键工艺卡脖子问题,通过差异化策略开发 CVD领域具有市场前景和竞争力的关键设备。截至目前,该系列部分产品已经处于与客户试样验证阶段。


2、光伏领域主要产品

产品图示产品说明
 采用先进反应腔体设计和薄膜沉积技术,可为 PERC、TOPCon、XBC、钙钛矿/异质结叠层电 池等新兴太阳能电池表面钝化提供高质量超薄 钝化膜的制备,确保电池光电转换效率的进一 步提升。基于成功量产机型的设计原理,夸父 系列 ALD薄膜钝化系统是公司原创设计的第五 代产品,代表了光伏行业国产创新设备的先进 技术,兼容 M10-M12硅片尺寸,在提供超高产 能的同时,最大程度降低设备的运营成本,为 客户提供可靠的量产解决方案,引领光伏产业 化高效电池智能化制造。
 祝融系列(ZR5000×1)管式 PECVD系统突破 性解决传统管式 PECVD的产能瓶颈,可与公司 ALD钝化技术无缝对接,确保 PERC、 TOPCon、IBC、TBC等高效电池生产。 祝融系列(ZR5000×2)管式 PEALD系统利用 公司原创设计的工业级等离子体增强原子层沉 积(PEALD)技术,实现了超高产能的批量型 PEALD镀膜,是 ALD领域量产化技术又一次 突破,专为接触钝化技术(TOPCon、HPBC、 POLO、SHJ和 TBC)量身定制,为后 PERC高 效电池技术提供可靠的量产解决方案。 祝融系列(ZR5000×3)管式 PEALD镀膜平台 延伸祝融系列概念,一体式设计实现氮化硅正 膜、氧化铝/背膜、氧化硅/多晶硅钝化膜一站式 完成,可实现单道产能翻倍,节省占地面积。 同时兼具先进的制造执行管理系统(MES)和 自动导引车(AGV)对接功能。在提供超高产 能的同时,最大程度降低设备的运营成本,为 后 PERC高效电池技术提供理想可靠的量产解 决方案。
 采用原创设计的高温热场控制技术,实现了兼 容磷、硼两种扩散工艺,拥有独创的冷却技术 可提升设备与零件的使用寿命,同时缩短了工 艺时间,为 PERC+和 TOPCon等下一代量产高 效电池的提效降本,提供了全套的主机及先进 的工艺解决方案。此外,羲和系统也提供退 火,氧化和低压化学气相沉(LPCVD)功能。
 专用于钙钛矿/异质结叠层电池等新兴太阳能电 池的非晶/微晶、掺杂层、阻水阻氧、致密保护 层等薄膜材料。以工业产线模块化为核心设计 思路,为客户提供高薄膜质量、高产能、高可 靠性、低制备成本、低投资成本的先进设备解 决方案。
公司设备在光伏产品生产中的具体镀膜工艺、应用领域和产业化阶段情况如下:
设备类型镀膜工艺目前应用领域
TALDAl O等工艺 2 3PERC电池背面钝化层、 TOPCon电池正面钝化层
  XBC、钙钛矿/异质结叠层 电池等高效晶硅太阳能电 池钝化
PECVDSiN等工艺 XPERC电池减反层
PECVDSiN等工艺 XTOPCon电池背面减反层
PEALD和 PECVDAl O、SiN等工 2 3 X 艺PERC电池背面钝化层、 减反层
PEALD和 PECVDAl O、SiN等工 2 3 X 艺TOPCon电池正面钝化 层、减反层
PEALD和 PECVD隧穿氧化硅、掺杂 多晶硅等工艺TOPCon电池隧穿层、掺杂 多晶硅层
炉管设备非晶硅晶化及掺 杂、扩散TOPCon电池扩散、退火
ALD/PEA LD/PECV D非晶/微晶硅基参 杂薄膜、阻水阻 气保护层等钙钛矿/异质结叠层电池
3、其他新兴应用产品

产品图示产品说明
 以国产化零部件为主导,可满足高 端研发和新工艺试量产需求,用于 高端装备的微纳制造镀膜。
 使用 ALD卷对卷高阻隔膜技术,可 保障高产能,满足稳定量产需求, 同时具有薄膜厚度精确可控、保形 性较好、成分可控等优点,成功实 现了在宽幅柔性基材上制备高阻隔 膜及其他功能薄膜。该系统可为柔 性电子材料提供高质量、高经济效 益的封装薄膜。
除上述专用设备外,公司还为客户提供配套产品及服务,主要包括设备改造、备品备件及其他两类业务。

①设备改造。公司的设备采用模块化设计,公司可以针对市场需求和技术发展趋势,为已销售的在役设备提供改造服务,以帮助下游客户用较少的成本达到降本增效的效果,提高设备服役年限。公司目前的设备改造集中在光伏领域设备,设备改造的内容主要包括尺寸改造、工艺改造等。

②备品备件及其他。公司设备在运行过程中,部分零部件会出现正常损耗,因此下游客户需向公司采购易损耗的零部件。备品备件主要为载具(一体舟)、去离子水等产品。公司还针对设备提供载具清洗、耗材更换等后续服务。


(二) 主要经营模式
1、盈利模式
公司通过向客户销售专用设备,提供设备改造、备品备件等配套产品及服务,获得相应的收入,扣除成本、费用等相关支出,形成公司的盈利。

2、采购模式
公司主要根据研发、生产、售后服务的需求计划和安全库存的需要等制定和执行采购计划,在合理控制库存的同时,保证物料供应的及时性。为保证公司产品的质量和性能,公司制定了严格的供应商选择和评估制度,按照《供应链开发导入管理流程》对供应链的导入过程进行管控,严格考察供应商的资质实力、质量水平、售后服务等方面,经供应商调查、试制、首批验证评价后,登记合格供应商名录,并持续开展绩效评价和推动持续改进,保障和提升供应能力。公司采用从品牌厂商直接采购或代理厂商采购方式,公司核心部件供应厂商一般为国内外知名企业,核心部件的供应较为稳定。

3、生产模式
公司采用定制化设计与生产。根据客户采购意向和需求进行产品定制化设计与生产,以满足客户的差异化需求。在获取销售合同或采购意向后,由项目部负责整个项目过程的进度管控与相关节点事宜协调。根据客户要求提供生产资料,并根据零件特性及投料需求,组织采购。生产部根据生产计划、零件到货情况和技术要求制定部件的装配计划,对装配过程进行外观、功能、关键工序、定位连接等进行自检。完成装配作业后进行工艺调试,根据检验标准的要求进行检验后组织打包发货。公司在设备生产中存在外协加工的情况,公司外协加工包括外购加工件和委外加工两种情形。外购加工件是供应商按照公司的图纸和技术要求、来料检验标准等向公司提供非标准化的定制采购件。委外加工是由供应商对公司提供的在产品进行机加工或进行表面处理。

4、销售模式
公司的销售模式为直销,主要通过直接接洽和投标的方式获取客户。对于已经形成批量销售的成熟机型,在与客户接洽后可以直接进入商务谈判或者招投标环节;对于部分首次购买客户,即使是成熟机型,在给该客户第一次供货前一般需要提供样机进行试用,试用满足客户要求后,再进入商务谈判或者招投标环节;对于新研发机型,根据客户需求,公司可能需要提供样机交由客户评测,再根据客户评测结果对新研发机型进行改进升级,待样机达到客户的技术指标后,再进入洽谈及合同签订环节。设备运至客户指定的位置后,公司负责组织安装调试、配合客户生产工作,并提供技术指导、售后跟踪和维修服务。

5、研发模式
公司根据研发阶段和内容将研发人员分为机械设计、电气和软件开发、工艺开发三类。机械设计类主要负责进行机械研发,主要职责是对新机型的研究与开发、对老机型的更新和改进、对车间装配和设备调试的技术支持,以及对工艺研发中涉及到机械硬件的技术支持;电气和软件类主要是负责电气及设备运行软件开发以及设备运行的电气和程序维护,对工艺开发中涉及到的技术提供支持;工艺开发类主要负责开发新产品所需要的各类镀膜工艺以及设备在客户端量产导入前的各类应用,同时为客户开发更先进的量产工艺技术。公司的产品研发及产业化流程主要包括需求提出、立项和规划阶段、开发实现阶段、产业验证阶段、产业化应用阶段。

(三) 所处行业情况
1. 行业的发展阶段、基本特点、主要技术门槛
根据《国民经济行业分类与代码》(GBT/4754-2017),公司所处行业属于 C3562半导体器件专用设备制造(指生产集成电路、二极管(含发光二极管)、三极管、太阳能电池片的设备的制造),属于高端装备在半导体、光伏等新一代信息技术领域、新能源的应用。根据公司产品的应用领域的不同,下游行业发展阶段、特点以及技术门槛情况如下: 1、半导体薄膜沉积设备
(1)薄膜沉积设备是半导体前道工艺设备的核心设备之一,受下游晶圆产线扩产、先进制程和新兴工艺的驱动,行业拥有较大的市场空间和良好的成长性
根据 SEMI数据统计,晶圆厂的投资构成中,刻蚀设备、光刻设备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备,其中薄膜沉积设备制备的各类薄膜发挥着导电、绝缘、阻挡污染物等重要作用,直接影响半导体器件性能,相关设备的投资额占晶圆制造设备投资总额约21%。

随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。在 90nmCMOS工艺大约需要 40道薄膜沉积工序。在 3nmFinFET工艺产线,则超过 100道薄膜沉积工序,涉及的薄膜材料由 6种增加到近 20种,对于薄膜颗粒的要求也由微米级提高到纳米级。只有薄膜沉积设备的不断创新和进步才能支撑集成电路制造工艺向更小制程发展。

伴随着晶圆厂投资力度及新建产能进程加快,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。薄膜沉积设备行业一方面长期受益于全球半导体需求增加与产线产能的扩充,另一方面受益于技术演进带来的增长机遇,包括制程进步、多重曝光与3DNAND存储技术,全球半导体薄膜沉积设备市场规模将因此高速增长。Maximize Market Research预计全球半导体薄膜沉积设备市场规模 2025年将扩大至 340亿美元,保持年复合 13.3%的增长速度。同时,根据 SEMI预测,2020年至 2025年,全球半导体薄膜沉积设备中 ALD设备的复合增长率预测为 26.3%,预计高于整体半导体薄膜沉积设备市场的增长率。

(2)半导体薄膜沉积行业具有较高的技术壁垒、市场壁垒和客户认证壁垒,国际市场目前主要被传统设备厂商垄断,国产替代趋势明显
由于芯片由不同模块工艺集成,薄膜沉积是大多数模块工艺的关键步骤,薄膜本身在不同模块/器件中的性能要求繁多且差异化明显。薄膜沉积工艺需要持续发展,新材料出现或器件结构的改变要求不断研发新的工艺或设备。同时,薄膜性能不断提升的需求要求工艺及相关专用设备具备更好集成度;更苛刻的热预算要求提升了温度条件更严格的薄膜生长工艺需求;沉积过程还要考虑沉积速率、工艺稳定性、环境污染、设备可靠性等各项严苛的指标。因此,薄膜沉积技术是一项涉及多个跨学科领域的高端技术,该技术在真空等特殊环境下实现化学反应,制备的薄膜材料为纳米级,并且工艺性能要求极高,从而导致薄膜沉积设备在晶圆制造生产环节诸多技术中,具有较高的技术壁垒和技术难度。

由于薄膜沉积设备及工艺技术行业壁垒较高,传统的国际大型厂商成立较早,在薄膜种类和相关工艺方面不断突破,具有先发优势,因此行业集中度较高。目前全球薄膜沉积设备市场基本上由应用材料、LAM、TEL、ASM等传统设备厂商垄断。近年来随着国家对半导体产业的持续投入及部分民营企业的兴起,我国半导体制造体系和产业生态得以逐步建立和完善;但从国内主流晶圆厂累计招标情况统计,半导体薄膜沉积设备的国产化率仍处于较低水平,国产厂商在薄膜沉积领域工艺覆盖类型方面尚不完善,仍有较大发展空间。

为推动我国半导体产业的发展,国家先后设立国家重大专项和国家集成电路基金。伴随着国家鼓励类产业政策和产业投资基金不断的落实与实施,本土半导体及其设备制造业迎来了前所未有的发展契机,而薄膜沉积设备作为半导体制造的核心设备,将会迎来巨大的进口替代市场空间。

2、光伏薄膜沉积设备
(1)薄膜沉积设备是太阳能电池片制造环节的关键设备之一,受益于光伏行业装机规模持续扩大,市场前景广阔。

按照光伏电池产业链,可将光伏设备分为硅片设备、电池片设备、组件设备。光伏薄膜沉积设备主要应用于太阳能晶硅电池片的制造环节,根据电池不同工艺和所需的薄膜性质,所采用的薄膜沉积设备会有所不同。薄膜沉积设备制备的薄膜直接影响电池片的光电转换效率,随着电池结构的发展,薄膜沉积设备的重要地位愈发凸显,且在电池产线设备投资中的占比不断提高。

随着全球《巴黎协定》的通过以及中国碳达峰和碳中和目标的提出,全球能源转型驱动光伏装机规模持续扩大。国内经过过去十多年快速发展,光伏技术不断突破,发电成本快速下降,装机规模迅猛增长,根据中国光伏行业协会(CPIA)发布的《2022年-2023年中国光伏产业发展路线图》,2022年全国新增光伏并网装机容量 87.41GW。累计光伏并网装机容量达到 392.6GW,新增和累计装机容量均为全球第一。2022年,全国电池片产量约为 318GW,同比增长 60.7%。

装机容量和电池片产量的不断扩大带动了光伏设备尤其是薄膜沉积设备需求的增加。

(2)光伏电池片技术迭代带来设备新需求,具备相应技术储备和研发实力的公司具有更强的市场竞争力。

太阳能晶硅电池片的制造环节的规模优势明显、技术迭代较快,在实现规模经济、降本增效的驱力下,电池片厂商积极扩产并推动新技术产业应用,其中薄膜沉积设备作为光伏电池的核心设备与新型工艺技术开发紧密结合并持续迭代发展。光伏领域薄膜沉积设备制造厂商需要不断结合市场需求和前后端设备技术发展趋势,针对下游客户产线的技术迭代方向,持续推出具有竞争力的新型号、乃至新一代产品,协助客户实现降本增效目的才能持续保持市场竞争力。

目前,由于 PERC电池片的量产平均转换效率已逐渐接近理论极限,TOPCon、HJT、XBC等新型电池技术路线正逐步成为电池技术的主要发展方向。电池厂商新建量产产线开始主要聚焦于 TOPCon、HJT两种技术路线。根据 CPIA发布的《2022年-2023年中国光伏产业发展路线图》2022年,新投产的量产产线仍以 PERC电池产线为主。但下半年部分 N型电池片产能陆续释放,PERC电池片市场占比下降至 88%,N型电池片占比合计达到约 9.1%,其中 N型 TOPCon电池片市场占比约 8.3%,异质结电池片市场占比约 0.6%,XBC电池片市场占比约 0.2%,未来随着生产成本的降低及良率的提升,N型电池将会成为电池技术的主要发展方向之一。

2. 公司所处的行业地位分析及其变化情况
在半导体领域内,公司已与国内多家头部半导体厂商建立了深度的合作关系,ALD产业化应用迅速发展的同时,公司藉由现有的薄膜沉积类产品研发、推广和产业化的经验,开发了以CVD为代表的多种真空薄膜技术产品,相关产品涵盖了逻辑、存储、化合物半导体、新型显示等细分应用领域,多项设备的镀膜质量、产能水平、稳定运行能力等关键指标均已达到了国际先进水平。公司已成功研制的 High-k原子层沉积所应用的高介电常数(High-k)栅氧薄膜工艺是国内突破 28nm制程中难度最大的工艺之一。公司是国内首家将其成功量产合并应用于 28nm节点集成电路制造前道生产线的国产设备公司,并已获得客户重复订单认可,填补了我国在该项半导体设备上的空白。除上述在半导体领域已实现产业化应用的功能外,公司 2022年推出的应用于逻辑芯片、传统和新型存储芯片、CMOS芯片、MEMS等领域的多款 ALD设备也取得了客户订单,部分设备获得多个重复订单。公司新开发的 CVD设备的部分产品已经发往客户处进行试样验证。

在光伏领域内,公司作为率先将 ALD技术规模化应用于国内光伏电池生产的企业,已成为行业内提供高效电池技术与设备的领军者之一,与国内头部光伏厂商形成了长期合作伙伴关系。

公司为客户提供具备优良的产品性能的设备,在保障光电转换效率的同时,可有效帮助电池片厂商大幅降低设备投资额与生产成本,在 PERC、TOPCon、XBC、异质结/钙钛矿叠层电池等高效电池技术发展过程中起着重要作用。相关产品已在新型电池产线上得到下游客户广泛认可,拥有较高的市场占有率,客户群体已基本覆盖光伏领域内包括通威太阳能、隆基股份、晶澳太阳能、阿特斯、天合光能等在内的多家知名太阳能电池片生产商。

根据公开的市场数据统计,公司 ALD产品已连续多年在营收规模、订单总量和市场占有率方面位居国内同类企业第一。

3. 报告期内新技术、新产业、新业态、新模式的发展情况和未来发展趋势 1、半导体薄膜沉积设备技术发展情况和趋势
半导体薄膜沉积设备技术的演进路径与半导体器件的大小和结构息息相关。在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路线宽不断缩小,影响集成电路制造工序愈为复杂,对于薄膜颗粒的要求也由微米级提高到纳米级。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。

(1)半导体领域中 PVD、CVD、ALD三类薄膜沉积技术相互补充、不断迭代。

常见的半导体领域中薄膜类型主要分为半导体、介质、金属/金属化合物薄膜三大类。半导体领域薄膜的沉积材料与应用场景复杂多样,伴随制程的演变材料需求增加,推动薄膜沉积工艺和设备的进步。薄膜制备依据的基础原理不同,因此薄膜沉积设备的工艺存在不同的技术路线。

物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)三类薄膜沉积技术均为目前半导体领域的主流技术路线,但各技术适用的环节有所不同。在芯片的制造过程中,涉及十PVD、CVD、ALD三类薄膜沉积技术依靠各自技术特点拓展适合的应用领域,材料制备上相互 补充。例如,仅从通用薄膜厚度适用性的角度来评估,PVD一般用于较厚的金属及导电类的平 面膜层制备;CVD一般适用中等以上厚度的膜层制备、应用范围广;ALD可以一个原子的厚度 (约 0.1nm)为精度进行薄膜沉积,更适用于超薄膜厚度控制以及三维、超高深宽比结构器件的 应用。同时,三种技术本身也随着下游应用需求的提高持续发展。 ALD技术相较于 CVD技术和 PVD技术,产业化应用起步时间较晚,在 45nm以上等成熟制 程、2D平面结构器件中应用较少,2007年 Intel公司才首次在 45nm技术节点上开始应用 ALD技 术进行薄膜制备,主要由于在先进制程节点下,原来用于成熟制程的溅射 PVD、PECVD等工艺 无法满足部分工序要求,因此需要引入 ALD工艺。ALD技术凭借其原子层级沉积特点,具有薄 膜厚度精确度高、均匀性好、台阶覆盖率极高、沟槽填充性能极佳等优势,特别适合在对薄膜质 量和台阶覆盖率有较高要求的领域应用,在 45nm以下节点以及 3D结构等先进半导体薄膜沉积 环节具有较好的应用前景。半导体制程演进与薄膜沉积技术对应情况如下: (2)ALD技术在 28nm以下逻辑芯片先进制程、DRAM、3DNAND、新型存储器等重要领域的技术优势明显,应用迅速扩大。

①28nm制程以下的 High-k栅介质层沉积需要应用 ALD技术
晶圆制造 65nm制程及以上中,集成电路主要通过沉积 SiO薄膜形成栅极介质,但进入2
45nm制程特别是 28nm之后,传统的 SiO栅介质层薄膜材料厚度需缩小至 1纳米以下,将产生2
明显的量子隧穿效应和多晶硅耗尽效应,导致漏电流急剧增加、器件性能急剧恶化,此时用高 K材料替代 SiO可优化器件性能。常见的高 K材料包括 TiO、HfO、Al O、ZrO、Ta O等。其2 2 2 2 3 2 2 5
中 HfO的介电常数为 25,具有适合的禁带宽度(5.8eV),因此 HfO作为栅介质层得到了业内2 2
广泛的应用。高 K材料的沉积要求原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,需要应用 ALD技术。

②先进制程多重曝光技术的需要应用 ALD技术
随着芯片集成度不断提升,晶体管结构也在接近物理尺寸的极限。自 2011年开始,代工厂开始采用效率更高、功耗更低的 22nm/16nm/14nmFinFET晶体管结构,但由于当光罩线宽接近光源波长时将会发生明显的衍射效应,会导致光刻工序的失效。在 EUV技术普及之前,目前主流的 ArFDUV光刻机(波长 193nm)通过浸润、相移掩模、多重曝光等方法,满足 28nm以下 7nm以上的制程工艺。多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行重曝光增加了多道薄膜沉积工序,需要薄膜技术具有接近 100%的保型性、薄膜厚度控制精准, 因此 ALD技术被迅速推广应用。 多重曝光技术 ③存储芯片 DRAM、3DNAND、新型存储器结构对 ALD技术的需求越来越大 随着 DRAM存储器容量不断增大,其内部的电容器数量随之剧增,而单个电容器的尺寸将 进一步减小,电容器内部沟槽的深宽比也越来越大。深沟槽将需要更高的薄膜表面积,例如在 45nm制程中,沟槽结构深宽比达到 100:1,所沉积薄膜的有效面积大约是器件本身表面积的 23 倍。这些给沉积技术提出了更高的要求。同样地,得益于薄膜以单原子层为量级生长所带来的大 面积均匀性、高台阶覆盖率和对膜厚的精确控制,ALD技术能够很好地满足这些要求。 存储芯片高深宽比结构示意图 3D NAND结构,内部层数不断增高,元器件逐步呈现高密度、高深宽比结构,PVD和CVD 难以达到沉积效果,ALD则可以实现高深宽比特征下的均匀镀膜。以最具挑战性的向字线中填 充导电钨为例:3D NAND交替堆叠氧化物和氮化物介电层,目前层数多达 96层。密集排列且具 有高深宽比的孔渗透至这些层中,按照高深宽比通道将排列分为字线。为了创建存储单元,必须 移除氮化物层并以钨进行替换。这种钨必须通过深(垂直深度 50:1)通道引入,然后横向扩散, 从而以无孔洞的超共形沉积方式填充(之前的)氮化物水平面(横向比约 10:1)。原子层沉积能 够一次沉积一个薄层,这就确保了均匀填充,并防止因堵塞而产生的空隙。 3D NAND结构示意图 资料来源:LamResearch
④先进晶体管结构需要全方位的 ALD解决方案 晶体管是构成逻辑电路、微处理器及记忆元件的基本单元,漏电一直是影响其良率、性能 和功耗的重要影响因素。在晶体管缩小的基础上,为了进一步提升器件性能,晶体管结构也在发 生变化。与平面晶体管(如 MOSFET)相比,FinFET是一种具有高架沟道的三维晶体管,栅极 环绕该沟道,制备难度更大。在标准平面替换闸极技术中,金属栅极堆叠由 ALD、PVD以及 CVD多种技术沉积金属层结合组成,但器件过渡到 FinFET、GAA等三维结构,PVD和 CVD则 难以达到沉积效果,需要全方位的ALD解决方案。ALD所沉积的 Spacer材料的宽度即决定了 Fin 的宽度,是制约逻辑芯片制程先进程度的核心因素之一。 不同制程下晶体管结构 资料来源:LamResearch

综上所述,在半导体制程进入 28nm后,由于器件结构不断缩小且更为 3D立体化,生产过程中需要实现厚度更薄的膜层,以及在更为立体的器件表面均匀镀膜。在此背景下,ALD技术凭借优异的三维共形性、大面积成膜的均匀性和精确的膜厚控制等特点,技术优势愈加明显,在半导体薄膜沉积环节的市场占有率也将持续提高。SEMI预计 2020年-2025年全球 ALD设备市场规模年复合增长率将达到 26.3%,在各类关键晶圆生产设备中增速最快。

目前,半导体行业的薄膜沉积设备中,ALD设备作为先进制程所必须的工艺设备,在大规模量产方面国内厂商尚未形成突破。当技术节点向 14纳米甚至更小的方向升级时,与 PVD设备和 CVD设备相比,ALD设备的必要性更加凸显。目前,基于供应链安全考虑,国内设备制造商正面临更多的机会。面对半导体设备向高精度化与高集成化方向发展的趋势,以及国产化进程加快的背景下,国产半导体 ALD设备迎来前所未有的发展契机。

(3)CVD等传统薄膜沉积技术仍具有十分广泛的应用和市场空间
虽然随着 ALD技术的发展,其应用范围逐步拓展,但由于芯片的制造过程中,涉及数十乃至百余种不同要求的薄膜材料,各类电性能、机械性能不同的薄膜构成了芯片 3D结构体中不同的功能,不同种类的薄膜沉积设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等不同要求,CVD等传统薄膜沉积设备仍广泛应用于半导体薄膜沉积的各环节,并占据一定的市场空间。根据 SEMI和北京欧立信数据显示,在 2021年全球各类薄膜沉积设备市场份额中,PECVD、LPCVD等 CVD技术仍是薄膜设备中占比最高的设备类型,PECVD占整体薄膜沉积设备市场的 33%,LPCVD设备占比各约为 11%。

其中,PECVD设备是芯片制造的核心设备之一。由于等离子体的作用,可以在相对较低的反应温度下形成高致密度、高性能薄膜,不破坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,是芯片制造薄膜沉积工艺中运用最广泛的设备之一。

再如,LPCVD技术中,反应压强下降到 100Torr及以下,分子的自由程与气体扩散系数增大,气态反应物和副产物的质量传输速率加快,形成薄膜的反应速率增加,具备较佳的阶梯覆盖率及很好的组成成份和结构控制。LPCVD设备具有沉积速率快,产能高等特点,且不需要载子气体,大大降低了颗粒污染源,被广泛地应用在芯片制造过程中。

PECVD、LPCVD等 CVD设备适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求,相关设备覆盖的工艺范围广,应用场景也较多。因国内半导体行业发展较为迅速,且目前 CVD的国产化率水平还处于较低水平,国内 CVD设备市场具有十分广阔的市场空间。

(4)公司半导体 ALD技术的发展情况
公司半导体 ALD设备的应用场景均代表国内半导体各细分领域的先进工艺发展方向,在逻辑芯片、存储芯片、新型显示芯片、化合物半导体领域均有设备订单,并已在客户段验收或客户验证,具体情况如下:
①在逻辑芯片领域,已开发的 28nm逻辑芯片中高 K栅介质层是国内集成电路突破 28nm先进制程节点要求最高的工艺之一。公司 ALD设备凭借原子级别的精确控制及沉积高覆盖率和薄膜的均匀性,制备的高 K材料 HfO较好的满足了 28nm逻辑器件制造过程的需要,相关设备已2
取得客户验收,实现产业化应用,并已获得重复订单。同时,公司还在逻辑芯片领域陆续开发新的设备工艺和材料应用。

②在存储芯片领域,ALD设备在高 K栅电容介质层、介质覆盖层、电极、阻挡层等工艺中的优势使其被广泛应用于 DRAM、3D-NAND、新型存储器等半导体制造领域,未来其在薄膜沉积环节的市场占有率将持续提高。公司应用于该领域的设备已进入产业化验证阶段,其中单片型ALD设备已获得多种工艺设备的重复订单;批量型 ALD设备也已获得客户订单,且为行业首台批量型 ALD设备在存储芯片制造领域的应用。

③在新型显示芯片领域,硅基微型显示芯片的阻水阻氧保护层应用于硅基 OLED微型显示芯片,该类显示芯片采用集成电路CMOS工艺,作为半导体和OLED结合的一种新型显示技术,具有较大发展前景。公司应用于该领域的批量型 ALD设备产品已获得多个客户订单,处于产业化验证阶段。

④在化合物半导体领域,第三代化合物半导体的钝化层和过渡层应用第三代化合物半导体功率器件,具有广阔的市场前景。例如,氮化镓器件相对于硅基器件有高频高压的特点,其栅极结构逐渐被 V型或深沟槽型结构取代,氮化镓器件的漏电问题也日益突出。ALD技术适合于生长超薄 Al O、AlN等薄膜作为钝化层和过渡层,可以起到更好的器件漏电抑制效果,保证器件具2 3
有良好的漏电和击穿性能。

随着逻辑芯片、DRAM、3D NAND及新型存储器芯片、化合物半导体、新型显示芯片等先进半导体技术的快速发展,下游生产环节对于沉积薄膜的厚度、精度、成分和结构的要求不断提高,对 ALD设备采购需求将会持续增加。公司的 ALD设备凭借其薄膜厚度精确度高、均匀性好、台阶覆盖率极高等优点,已经与下游半导体制造厂商就各类先进应用开展合作,能够满足客户制备高质量薄膜的需求。在国产化进程加快的背景下,随着下游客户逐步达产和半导体各细分领域先进工艺应用投资规模的扩大,公司产品将具有更广阔的市场前景。

(5)公司半导体 CVD技术的发展情况
PECVD、LPCVD等 CVD产品具有较为广阔的市场空间,且目前国产化率水平还处于较低水平。公司基于客户关键工艺开发的战略需求,以 CVD的硬掩模工艺为切入点,依托产业化应用中心强大的前瞻工艺开发能力及国际化的研发团队,和公司所具有的半导体设备设计制造能力,解决关键工艺卡脖子问题,进行差异化策略,开发 CVD领域具有市场前景和竞争力的关键设备。

相关产品可应用于芯片制造硬掩膜与高级图案化、钝化层、扩散阻挡层、介电层、电容覆盖层等领域。截止目前,该系列部分产品已发往客户处进行试样验证。

2、光伏薄膜沉积设备技术发展情况
光伏薄膜沉积设备技术的演进路径与光伏电池类型变化相关。根据所需沉积薄膜类型的不同,光伏领域各技术路线有其各自适合的应用场景,并随着光伏电池技术发展而动态变化。太阳能电池片技术路线主要包括铝背场电池(Al-BSF)、PERC、TOPCon、异质结(HJT)、XBC电池、钙钛矿等。目前,PERC技术已经非常成熟,TOPCon正逐步成为主流,同时行业内也在积极探索或布局 HJT、XBC、钙钛矿等新兴太阳能电池技术,目前尚处于实验或验证阶段。(未完)
各版头条